로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
[디지털 시스템 설계 및 실험] 4bit ripple counter [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
1. JK 플립플롭 제작 2. 4bit Ripple Counter 제작 1. JK 플립플롭 제작 코딩module jk(J,K,C,Q,Q_); input J,K,C; output Q,Q_; wire J1,NK,K1,D; wire NC; not (NC,C); dppr dppr0(D,NC,Q,Q_); and (J1,J,Q_); not...
3 pages | 다운로드 0 | 구매평가
[디지털시스템설계] VDHL을 이용한 Dot-matrix활용 [새창] →미리보기
[공학,기술계열] 등록일: 2013/05/08 | 등록자: leewk2547 | 판매가격: 2,000 원
많은 실험들을 했었다, 그 중에서도 도트매트릭스 실험을 했을때가 가장 기억에 남아서, 이번 프로젝트 때 도트매트릭스를 이용하여 내 이름 3 글자를 나타내기로 하였다. 신호등, 시계 만들기 등 여러 가지가...
14 pages | 다운로드 0 | 구매평가
[디지털논리회로] Smart Traffic Light Controller 설계(STLC 설계) [새창] →미리보기
[공학,기술계열] 등록일: 2015/05/12 | 등록자: leewk2547 | 판매가격: 2,000 원
- Specification of STLC - I/O signal description - Block diagram of system - State diagram Verilog HDL source code Test plan & Result Simulation results in waveform Conclusions & Comments
13 pages | 다운로드 0 | 구매평가
[VHDL] Digital stop watch[디지털 스톱 워치] 설계 소스 - 키트와 쿼터스를 이용 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 2,000 원
편이다. 이번 프로젝트 설계를 통해 학기 동안 배웠던 VHDL 문법을 복습하고, 나아가 더 복잡한 구조를 해석하고 설계할 수 있는 능력을 기를 수 있으며 키트의 세그먼트 활용과 클락 신호를 다루는 능력을 기를 수...
11 pages | 다운로드 5 | 구매평가
[디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2012/06/13 | 등록자: leewk2547 | 판매가격: 1,800 원
고 있는 연산자, 누산기에 저장된 결과, 그리고 시프트된 결과들을 저장하기 위한 공간을 가고 있으며, ALU내의 비트의 흐름과, 그 안에서 수행된 연산들은 게이트 회로에 의해 통제되는데, 게이트 회로는 다시...
9 pages | 다운로드 1 | 구매평가
전자부품회로설계의 기초 [새창] →미리보기
[공학,기술계열] 등록일: 2016/01/14 | 등록자: hb2577 | 판매가격: 5,000 원
본 자료는 공업전문대학교 전기공학, 전자공학과의 디지털 회로 실습 과목 강의에 이용되는 자료로서 전자부품회로설계의 기초에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임.
92 pages | 다운로드 3 | 구매평가
디지털 논리회로 111 detection(감) [새창] →미리보기
[공학,기술계열] 등록일: 2017/05/30 | 등록자: secretnote89 | 판매가격: 1,000 원
자일링스를 이용한 111detection 이다. 1이 3개 연속 들어온 경우 감지하여 output으로 1을 출력하며 연속된 111 이 3번 나온 후 더이상 출력 하 않는다.
9 pages | 다운로드 0 | 구매평가
[디지털 논리 회로] 디지털 공중전화 [새창] →미리보기
[공학,기술계열] 등록일: 2013/09/06 | 등록자: leewk2547 | 판매가격: 2,000 원
1. Project 목적 ⧠ 디지털 논리회로 설계 및 실습 과목의 term project 구현 ⧠ 칩의 기능과 논리구조의 이해 ⧠ 금까 학습한 내용들을 복합하여 하나의 작품에 응용 및 구현
16 pages | 다운로드 3 | 구매평가
디지털 공학 - 4입력 제곱기 [새창] →미리보기
[공학,기술계열] 등록일: 2013/04/04 | 등록자: leewk2547 | 판매가격: 2,000 원
REPORT 디지털 공학 -4비트 제곱기 설계 4BIT 제곱기 설계 (1) 블록도 (2) 진리표 (3) 간략화 진리표 결과값 (4) 논리도
7 pages | 다운로드 0 | 구매평가
데이터베이스의 개념과 특징, 분류에 대하여 서술하시오 [새창] →미리보기
[공학,기술계열] 등록일: 2024/05/16 | 등록자: iscientist | 판매가격: 5,000 원
데이터베이스의 개념과 특징, 분류에 대하여 서술하시오 주제에 대해 정성껏 조사하여 작성한 레포트로 a+ 받은 자료입니다. 많은 도움 되시길 기원 드립니다.
9 pages | 다운로드 0 | 구매평가
정보통신망4D)디지털 트윈(Digital Twins)에 관하여 조사하여 설명하고 디지털 트윈을 위해 활용될 수 있는 정보통신 기술에 관하여 서술하.. [새창] →미리보기
[공학,기술계열] 등록일: 2023/03/28 | 등록자: junhwan98 | 판매가격: 3,900 원
정보통신망4D)디지털 트윈(Digital Twins)에 관하여 조사하여 설명하고 디지털 트윈을 위해 활용될 수 있는 정보통신 기술에 관하여 서술하시오.
7 pages | 다운로드 0 | 구매평가
#메이플스토리 경매장 #주흔 낚시꾼들꺼 사재기.zip #주흔낚시꾼 낚기 #9999개 600만 이득 [새창]
[공학,기술계열] 등록일: 2020/04/13 | 등록자: ddangkug | 판매가격: 5,000 원
#메이플스토리 경매장 #주흔 낚시꾼들꺼 사재기.zip #주흔낚시꾼 낚기 #9999개 600만 이득 필요물품을 알집에 구성과 모르는부분있으면 메일 보내주세요
1 pages | 다운로드 2 | 구매평가
자동차 공학 - 3차원 형상; 3D 프린터의 종류와 특징 및 활용 [새창] →미리보기
[공학,기술계열] 등록일: 2017/04/13 | 등록자: leewk2547 | 판매가격: 2,000 원
헤드에서 가열하여 노즐을 통하여 압축시킨 후 한 층씩 적층하여 쌓아 올리는 방식이다. 세계 시장 점유율 1위이며 높은 정밀도와 반복성을 가고 있다. 재료면에서는 고강도 재료에 속하며 완성 후 변형이 없다....
4 pages | 다운로드 0 | 구매평가
[eBook]디지털디자인프로세스(Digital Design Process) [새창] →미리보기
[공학,기술계열] 등록일: 2016/04/09 | 등록자: choijjai | 판매가격: 15,000 원
신제품 개발시의 조기 설계품질(강건설계)을 확보하여 제품 개발 기간을 단축하기 위한 개발혁신 프로세스 소개.
123 pages | 다운로드 0 | 구매평가
디지털발표 디지털 논리회로 및 실습Term Project.ppt [새창] →미리보기
[공학,기술계열] 등록일: 2014/05/25 | 등록자: yellow555 | 판매가격: 1,500 원
디지털발표자료 디지털 논리회로 및 실습Term Project.ppt 목차 1. 주제선정동기 2. 주제소개 3. 진리표 4. 하드웨어 5. 진행계획 6. Q&A 참고 자료 디지털 논리회로 실험 (120개의 기본 실험과 12개의 텀...
10 pages | 다운로드 3 | 구매평가
rindex = [1]

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기