로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너

[디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계


카테고리 : 레포트 > 공학,기술계열
파일이름 :[디지털시스템] VHDL을 이용하여.hwp
문서분량 : 9 page 등록인 : leewk2547
문서뷰어 : 한글뷰어프로그램 등록/수정일 : 12.06.13 / 12.06.13
구매평가 : 다운로드수 : 1
판매가격 : 1,800

미리보기

같은분야 연관자료
지털 시스템 설계 및 실험 - Decorder, Encoder, Multiplexer... 7 pages 2000
디지털시스템설계 - 신호등 설계... 22 pages 2000
[디지털공학] 디지털시스템 3 ... 3 pages 500
디지털시스템설계 - ARM7 Thumb instruction을 수행하는 microprocessor VHDL 레포트... 13 pages 10000
[디지털 시스템] 디지털 계 제작... 17 pages 2000
보고서설명
산술논리 연산장치 ALU는 중앙처리장치의 일부로서 컴퓨터 명령어 내에 있는 연산자들에 대해 연산과 논리동작을 담당한다. 일반적으로 ALU는 입력된 연산자와, 현재 더해지고 있는 연산자, 누산기에 저장된 결과, 그리고 시프트된 결과들을 저장하기 위한 공간을 가지고 있으며, ALU내의 비트의 흐름과, 그 안에서 수행된 연산들은 게이트 회로에 의해 통제되는데, 게이트 회로는 다시 각 연산코드에 대해 특별한 알고리즘이나 순서를 사용하는 순차 논리장치에 의해 통제 된다.
본문일부/목차
먼저 Logic unit block, Arithmetic Unit block, Mux block, Shifter block을 각각 설계하였습니다. 그리고 ALU라는 이름을 가진 Top block을 만들어 각 입출력 포트들을 내부에서 시그널을 통하여 이어주었습니다. 시뮬레이션은 각 block 단위로 먼저 수행하여 정상 동작 여부를 확인한 후 각 block을 결합한 Top block에 대한 시뮬레이션을 실시하였습니다. 간편한 시뮬레이션을 위하여 ALU 블록에 대한 Testbench를 만들었고, 각 블록에 사용된 조건문은 다양한 조건문 중 IF문을 사용하였습니다. 최종적으로 시뮬레이션 완료 후 Synplify 툴을 이용하여 합성을 실시하였습니다.

Logic unit block에 대한 VHDL Code와 시뮬레이션 결과입니다. 입력된 A, B 신호는 각각 00001111, 00110011로 지정해 주었고, sel 값이 00, 01, 10, 11로 변함에 따라 어떤 동작이 하는지 확인해 보았습니다. sel 값이 00인 경우 출력 logic_unit 값이 A와 B의 AND 연산, 01인 경우 A와 B의 OR 연산, 10인 경우 A와 B의 XOR 연산, 11인 경우 A의 보수 연산을 수행하고 있습니다. ALU Function Table과 비교해 보았을 때 정상적으로 동작하고 있음을 확인 할 수 있습니다.

- Arithmetic unit block의 VHDL Code와 시뮬레이션 결과입니다. VHDL에서 각 조건을 나타내는 조건문은 IF문을 이용하였습니다. 시뮬레이션시 입력된 A, B 신호는 각각 00001111, 00110011로 지정해 주었고, sel값과 c_in(carry in)값에 따라 수행하는 동작을 확인해 보았습니다. sel 값이 00이고 c_in값이 0인 경우 출력 arithmetic_unit 값이 A, sel 값이 00이고 c_in값이 1인 경우 A+1, sel 값이 01이고 c_in값이 0인 경우 A+B, sel 값이 01이고 c_in값이 1인 경우 A+B+1, sel 값이 10이고 c_in값이 0인 경우 B의 1의 보수, sel 값이 10이고 c_in값이 1인 경우 A-B, sel 값이 11이고 c_in값이 0인 경우 A-1, sel 값이 11이고 c_in값이 1인 경우 A를 가진다는 사실을 확인 할 수 있으며, ALU Function Table과 일치합니다.

- 2X1 멀티플렉스에 대한 VHDL Code와 시뮬레이션 결과입니다. IF문을 이용하여 간단하게 작성하였고, logic_unit과 arithmetic_unit은 각각 00001111, 00110011의 8bit 신호를 주었습니다. sel 신호가 0인 경우 arithmetic_unit 값이 출력으로 나가고, 1인 경우 logic_unit 값이 출력으로 나가는 것을 확인 할 수 있습니다.
연관검색어
디지털시스템

구매평가

구매평가 기록이 없습니다
보상규정 및 환불정책
· 해피레포트는 다운로드 받은 파일에 문제가 있을 경우(손상된 파일/설명과 다른자료/중복자료 등) 1주일이내 환불요청 시
환불(재충전) 해드립니다.  (단, 단순 변심 및 실수로 인한 환불은 되지 않습니다.)
· 파일이 열리지 않거나 브라우저 오류로 인해 다운이 되지 않으면 고객센터로 문의바랍니다.
· 다운로드 받은 파일은 참고자료로 이용하셔야 하며,자료의 활용에 대한 모든 책임은 다운로드 받은 회원님에게 있습니다.

저작권안내

보고서 내용중의 의견 및 입장은 당사와 무관하며, 그 내용의 진위여부도 당사는 보증하지 않습니다.
보고서의 저작권 및 모든 법적 책임은 등록인에게 있으며, 무단전재 및 재배포를 금합니다.
저작권 문제 발생시 원저작권자의 입장에서 해결해드리고 있습니다. 저작권침해신고 바로가기

 

⼮üڷٷΰ ⸻ڷٷΰ thinkuniv ķ۽÷