로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너

[프로젝트/발표] Smart Doorlock 제작


카테고리 : 레포트 > 공학,기술계열
파일이름 :Smart Doorlock 제작.pptx
문서분량 : 26 page 등록인 : tjr6155
문서뷰어 : MS-파워포인트뷰어프로그램 등록/수정일 : 21.05.23 / 21.05.23
구매평가 : 다운로드수 : 0
판매가격 : 3,000

미리보기

같은분야 연관자료
보고서설명
핸드폰 어플로 연동하여 음성 혹은 버튼으로 원격 조작 가능한 Smart Doorlock 제작 자료입니다.
상세한 코드 및 제작 방법 나와있습니다.

*슬라이드 노트에 상세한 설명
본문일부/목차
1. 목표
2. 부품 설명
3. DEMO
4. 앞으로의 계획
5. 출처 및 질의응답


/


아두이노와 블루투스는 SoftwareSerial로 연결되어있기 때문에 블루투스 통신을 위해 SoftwareSerial 라이브러리를 불러옵니다.
회로도에 꽂아둔 것처럼 RX핀은 2번 핀, TX핀은 3번 핀으로 설정합니다.
pinMode(9, OUTPUT) : 9번 핀을 출력으로 사용한다는 것입니다.
BTSerial.begin()은 블루투스로 데이터 전송할 때 데이터묶음의 전송속도를 설정하는 함수합니다.
BTSerial.available()은 데이터를 수신할 때 사용하는 함수입니다.
BTSerial.available()에서 블루투스로부터 받은 데이터가 있는지 확인하고 있다면 BTSerial.read()에서 데이터를 읽습니다.
읽은 데이터가 a라면 case’a’로 가게 되어 9번 핀에서 전원on 0.1초간, 전원off시킵니다.
연관검색어
Smart Doorlock

구매평가

구매평가 기록이 없습니다
보상규정 및 환불정책
· 해피레포트는 다운로드 받은 파일에 문제가 있을 경우(손상된 파일/설명과 다른자료/중복자료 등) 1주일이내 환불요청 시
환불(재충전) 해드립니다.  (단, 단순 변심 및 실수로 인한 환불은 되지 않습니다.)
· 파일이 열리지 않거나 브라우저 오류로 인해 다운이 되지 않으면 고객센터로 문의바랍니다.
· 다운로드 받은 파일은 참고자료로 이용하셔야 하며,자료의 활용에 대한 모든 책임은 다운로드 받은 회원님에게 있습니다.

저작권안내

보고서 내용중의 의견 및 입장은 당사와 무관하며, 그 내용의 진위여부도 당사는 보증하지 않습니다.
보고서의 저작권 및 모든 법적 책임은 등록인에게 있으며, 무단전재 및 재배포를 금합니다.
저작권 문제 발생시 원저작권자의 입장에서 해결해드리고 있습니다. 저작권침해신고 바로가기

 

ϰڷٷΰ thinkuniv ķ۽÷