로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
카테고리
카테고리
카테고리
카테고리
campusplus
세일즈코너배너
자료등록배너

디지털 논리회로 111 detection(감지)


카테고리 : 레포트 > 공학,기술계열
파일이름 :디지털 논리회로 111 detecti.hwp
문서분량 : 9 page 등록인 : secretnote89
문서뷰어 : 한글뷰어프로그램 등록/수정일 : 17.05.30 / 17.05.30
구매평가 : 다운로드수 : 0
판매가격 : 1,000

미리보기

같은분야 연관자료
(DSP) Notch /Low pass /High pass filter Matlab(매틀랩) 제작... 31 pages 4000
고급디지털 회로설계 - 111 DETECTOR 설계... 15 pages 2000
[간호학] 자궁내막증식증 케이스... 22 pages 2300
[경영 정보학] BCP(사업연속성 계획 )에 대해 / BCP [경영 정보학] BCP(... 9 pages 1000
흉부외과 CASE REPORT... 7 pages 1100
보고서설명
자일링스를 이용한 111detection 이다.
1이 3개 연속 들어온 경우 감지하여 output으로 1을 출력하며 연속된 111 이 3번 나온 후 더이상 출력 하지 않는다.
본문일부/목차
●mealy code
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity mealy is
  Port(
    m_clk    : in STD_LOGIC;
         m_reset   : in std_logic;
         m_input   : in STD_LOGIC;
         m_s     : in STD_LOGIC;
         m_output   : out STD_LOGIC;
         l_output   : out STD_LOGIC_VECTOR (0 to 3)
         );
end mealy;
architecture Behavioral of mealy is

component counter_mealy
Port (
 m_clk : in STD_LOGIC;
 m_reset : in STD_LOGIC;
 m_input : in STD_LOGIC;
 m_output : out STD_LOGIC_VECTOR (0 to 3)
 );
end component;
연관검색어
detect

구매평가

구매평가 기록이 없습니다
보상규정 및 환불정책
· 해피레포트는 다운로드 받은 파일에 문제가 있을 경우(손상된 파일/설명과 다른자료/중복자료 등) 1주일이내 환불요청 시
환불(재충전) 해드립니다.  (단, 단순 변심 및 실수로 인한 환불은 되지 않습니다.)
· 파일이 열리지 않거나 브라우저 오류로 인해 다운이 되지 않으면 고객센터로 문의바랍니다.
· 다운로드 받은 파일은 참고자료로 이용하셔야 하며,자료의 활용에 대한 모든 책임은 다운로드 받은 회원님에게 있습니다.

저작권안내

보고서 내용중의 의견 및 입장은 당사와 무관하며, 그 내용의 진위여부도 당사는 보증하지 않습니다.
보고서의 저작권 및 모든 법적 책임은 등록인에게 있으며, 무단전재 및 재배포를 금합니다.
저작권 문제 발생시 원저작권자의 입장에서 해결해드리고 있습니다. 저작권침해신고 바로가기

 

߰ڷٷΰ thinkuniv ķ۽÷