로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너

디지털논리회로 - VHDL을 이용한 inertial delay와 transport delay 확인


카테고리 : 레포트 > 공학,기술계열
파일이름 :디지털논리회로 - VHDL을 이용한.doc
문서분량 : 6 page 등록인 : leewk2547
문서뷰어 : MS-워드뷰어프로그램 등록/수정일 : 14.06.05 / 14.06.05
구매평가 : 다운로드수 : 1
판매가격 : 2,000

미리보기

같은분야 연관자료
지털발표 디지털 논리회로 및 실습Term Project.ppt... 10 pages 1500
디지털논리회로요약... 2 pages 1000
지털 논리회로 설계 및 실습 - 논리 프로브 구성 결과 보고서... 4 pages 2000
디지털논리회로 - 고속 동작 곱셈기 설계... 7 pages 2000
디지털논리회로 실습 보고서 - 코드 변환기... 5 pages 2000
보고서설명
1. Title: VHDL을 이용한 inertial delay와 transport delay 확인
2. purpose: 작성한 vhdl code와 시뮬레이션 결과를 첨부하고, inertial delay와 transport delay의 차이점에 주목하여 결과를 비교한다.
3. Theory
본문일부/목차
일반적인 반도체 소자에 대한 값 전달 상태를 살펴보면 대개 반도체 소자에 의한 Delay 보다 작은 입력 값의 변화가 발생하면 이를 무시하게 된다(Inertial Delay). Transport Delay는 이러한 반도체 소자의 특성을 무시한 입력 변화를 그대로 전달하는 방식이며, Gate Delay는 일반 반도체 소자도 그 내부에 더 작은 소자들로 이루어졌다는 가정 하에 Delay를 더 작게 나눈 것이다.
<그림8. delay의 종류>

우리가 다룬 것은 Inertial Delay와 Transport Delay이다. 먼저 그림4을 확대해 보면

와 같이 맨 아래의 x’와 중간의 delay된 x’(=y) 값이 2ns의 차이만 보일 뿐 delay length보다 짧은 1ns의 signal에도 출력을 변화시키는 것을 볼 수 있다. delay보다 작은 입력 값도 모두 출력으로 나왔으므로 transport delay라고 볼 수 있다(VHDL 구문: y <= transport not_out after 2 ns;). 그에 비해 그림6를 보면

와 같이 맨 아래의 x’와 중간의 delay된 y 값이 2ns의 차이를 보이며 delay length보다 짧은 1ns의 signal에는 출력을 내보내지 않음을 확인할 수 있다(빨간 동그라미 부분). delay보다 작은 입력 값을 무시했으므로 inertial delay라고 볼 수 있다(VHDL 구문: y <= inertial not_out after 2 ns;). 작지만 다른 입력 값을 지니는 부분을 무시하기 때문에 출력 값이 원래 나와야 할 값과 크게 달라지게 되고 바로 이 부분이 회로에서 오작동을 일으키는 것이다(fanout constraint).
연관검색어
디지털논리회로

구매평가

구매평가 기록이 없습니다
보상규정 및 환불정책
· 해피레포트는 다운로드 받은 파일에 문제가 있을 경우(손상된 파일/설명과 다른자료/중복자료 등) 1주일이내 환불요청 시
환불(재충전) 해드립니다.  (단, 단순 변심 및 실수로 인한 환불은 되지 않습니다.)
· 파일이 열리지 않거나 브라우저 오류로 인해 다운이 되지 않으면 고객센터로 문의바랍니다.
· 다운로드 받은 파일은 참고자료로 이용하셔야 하며,자료의 활용에 대한 모든 책임은 다운로드 받은 회원님에게 있습니다.

저작권안내

보고서 내용중의 의견 및 입장은 당사와 무관하며, 그 내용의 진위여부도 당사는 보증하지 않습니다.
보고서의 저작권 및 모든 법적 책임은 등록인에게 있으며, 무단전재 및 재배포를 금합니다.
저작권 문제 발생시 원저작권자의 입장에서 해결해드리고 있습니다. 저작권침해신고 바로가기

 

ϰڷٷΰ thinkuniv ķ۽÷