로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너

VHDL 설계 언어 실습(문법적용)


카테고리 : 레포트 > 공학,기술계열
파일이름 :VHDL 설계 언어 실습(문법적용).hwp
문서분량 : 26 page 등록인 : leewk2547
문서뷰어 : 한글뷰어프로그램 등록/수정일 : 14.01.19 / 14.01.19
구매평가 : 다운로드수 : 0
판매가격 : 2,000

미리보기

같은분야 연관자료
논리게이트 - VHDL 설계 언어 실습... 26 pages 2000
보고서설명
library ieee;
use ieee.std_logic_1164.all;

entity logic1 is
port(a,b,c :in bit;
y :out bit);
end logic1;

architecture sample of logic1 is
signal w, x : bit;
begin
no1: process(a,b)
begin
if (a=1) or (b=1) then w <= 1;
else w <=0;
본문일부/목차
library ieee;
use ieee.std_logic_1164.all;

entity over_write is
port ( a,b : in bit;
z : out bit);
end over_write;

architecture sample of over_write is
begin
process (a,b)
begin
z <= a and b;
z <= a or b;
end process;
end sample;


process 문 내에서 signal값의 대입은 즉시 대입되어지는 것이 아니라 end process문을 빠져나가야만 해당 signal의 최종 값이 확정된다. 따라서 signal z 에 두 개의 파형 a and b 와 a or b 를 순차적으로 인가되면 앞 파형은 뒷 파형에 의해 overwrite되어 최종적으로 z <= a or b 가 된다.
연관검색어
VHDL 설계 언어 실습

구매평가

구매평가 기록이 없습니다
보상규정 및 환불정책
· 해피레포트는 다운로드 받은 파일에 문제가 있을 경우(손상된 파일/설명과 다른자료/중복자료 등) 1주일이내 환불요청 시
환불(재충전) 해드립니다.  (단, 단순 변심 및 실수로 인한 환불은 되지 않습니다.)
· 파일이 열리지 않거나 브라우저 오류로 인해 다운이 되지 않으면 고객센터로 문의바랍니다.
· 다운로드 받은 파일은 참고자료로 이용하셔야 하며,자료의 활용에 대한 모든 책임은 다운로드 받은 회원님에게 있습니다.

저작권안내

보고서 내용중의 의견 및 입장은 당사와 무관하며, 그 내용의 진위여부도 당사는 보증하지 않습니다.
보고서의 저작권 및 모든 법적 책임은 등록인에게 있으며, 무단전재 및 재배포를 금합니다.
저작권 문제 발생시 원저작권자의 입장에서 해결해드리고 있습니다. 저작권침해신고 바로가기

 

ϰڷٷΰ thinkuniv ķ۽÷