로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너

[디지털시스템설계] VDHL을 이용한 Dot-matrix활용


카테고리 : 레포트 > 공학,기술계열
파일이름 :[디지털시스템설계] VDHL을 이용한.hwp
문서분량 : 14 page 등록인 : leewk2547
문서뷰어 : 한글뷰어프로그램 등록/수정일 : 13.05.08 / 13.05.08
구매평가 : 다운로드수 : 0
판매가격 : 2,000

미리보기

같은분야 연관자료
디지털시스템설계 - 신호등 설계... 22 pages 2000
[A] 디지털 시스템설계단계는 어떻게 구분되며, 각 단계에서 수행하는 _pcr... 7 pages 4000
멀티미디어 디지털방송 시스템 설계 및 구현... 53 pages 6500
디지털시스템설계 - ARM7 Thumb instruction을 수행하는 microprocessor VHDL 레포트... 13 pages 10000
디지털시스템실험 - 4Bit Adder,Subtractor를 설계... 3 pages 1000
보고서설명
수업시간에 vdhl을 이용하여 여러 가지 많은 실험들을 했었다, 그 중에서도 도트매트릭스 실험을 했을때가 가장 기억에 남아서, 이번 프로젝트 때
도트매트릭스를 이용하여 내 이름 3 글자를 나타내기로 하였다.
신호등, 시계 만들기 등 여러 가지가 있지만, 글자를 표현하는 것이
의미가 있는 것 같아서 Dot matrix를 했다.
내 마음속에 있는 생각들을 글자로 모두 표현하고 싶지만. 무엇보다도
가장 중요한 것이 내 이름 유 준 호 라는 세 글자이기 때문에, 아쉽지만
이름만 표현하기로 했다.
본문일부/목차
도트 매트릭스란 지하철 전광판에서 볼수 있는 점점으로 글씨나 그림을 표시하는 전자부품이다.
지하철에서나 또는 술집 같은데 광고글씨 지나가는 것이다.
이런 도트 매트릭스의 기본 동작은 아래와 같다.
LED는 발광다이오드이므로 순방향으로 전압이 걸릴때 켜지게 된다. 위 그림에서는 빨간선이 검은선보다 높은 전압이 걸린다면 켜지게 된다.
만약 위 그림과 같이 64개의 다이오드를 켜기위해서는 64개의 MICOM IO 핀이 필요할 수도 있다. 하지만 그것은 매우 번거로운 일이므로 메트릭스를 구현해서 LED를 켜게 된다.
그림을 보면 빨간선 8개와 검은선 8개가 LED들에 연결되어 있다. 빨간선이 5v , 검은선이 0v 라고 하자.(실제 다이오드에 걸리는 전압은 5v가 되면 안되므로 저항등이 필요하지만 여기서는 생략.)
만약 1번 검은색 선에 0v를 연결하고, 2~8번까지 선에 5v를 연결한다고 하자. 그리고 A에만 5v를 연결하고 B-H까지에는 0v를 연결하자.
그러면 어떤 다이오드가 켜지게 될까? A1 다이오드만 켜지게 된다.

정리해보면
•8*8의 도트매트릭스에서 켤 줄을 선택한다. 1 ->2 -> 3 -> ..-> 8 ->1 순서대로 0v를 걸어준다.
•각 줄이 선택되면 그줄에 맞는 LED 신호를 A~H 핀에 출력한다.

•1,2번을 반복하면 8*8에 이미지가 완성이 된다.
연관검색어
디지털시스템설계

구매평가

구매평가 기록이 없습니다
보상규정 및 환불정책
· 해피레포트는 다운로드 받은 파일에 문제가 있을 경우(손상된 파일/설명과 다른자료/중복자료 등) 1주일이내 환불요청 시
환불(재충전) 해드립니다.  (단, 단순 변심 및 실수로 인한 환불은 되지 않습니다.)
· 파일이 열리지 않거나 브라우저 오류로 인해 다운이 되지 않으면 고객센터로 문의바랍니다.
· 다운로드 받은 파일은 참고자료로 이용하셔야 하며,자료의 활용에 대한 모든 책임은 다운로드 받은 회원님에게 있습니다.

저작권안내

보고서 내용중의 의견 및 입장은 당사와 무관하며, 그 내용의 진위여부도 당사는 보증하지 않습니다.
보고서의 저작권 및 모든 법적 책임은 등록인에게 있으며, 무단전재 및 재배포를 금합니다.
저작권 문제 발생시 원저작권자의 입장에서 해결해드리고 있습니다. 저작권침해신고 바로가기

 

ϰڷٷΰ thinkuniv ķ۽÷