로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너

[자연과학] 합금공구강 STD11 열처리jo / 합금공구강 STD11 열처리 1. 실험 목적


카테고리 : 레포트 > 자연과학계열
파일이름 :합금공구강_STD11_열처리jo.hwp
문서분량 : 11 page 등록인 : konggong12s
문서뷰어 : 한글뷰어프로그램 등록/수정일 : 09.01.28 / 09.06.19
구매평가 : 다운로드수 : 1
판매가격 : 2,700

미리보기

같은분야 연관자료
보고서설명
합금공구강 STD11 열처리 1. 실험목적 합금공구강을 퀜칭처리후 템퍼리...
본문일부/목차
합금공구강 STD11 열처리
ƒ. 실험목적

합금공구강을 퀜칭처리후 템퍼리하는 이유와, 퀜칭처리시 잔류응력의 제거와 미세조직의 안정화를 위하여 템퍼링하는 것을 이해시킨다. 이번 실험은 냉간가공용 금형에 가장 널리 사용되는 합금 공구강인 STD11강을 사용하여 퀜칭처리한 후 템퍼링온도(200, 300, 500, 600)에 따른 기계적 성질 변화, 즉 퀜칭, 템퍼링 등의 열처리를 실험 실습함으로써 경도변화를 조사하고 더블템퍼링을 하는 이유를 아는데 있다.
„. 합금공구강 STD11에 포함되어 있는 화학조성 및 조성 특징 (KS 규격)

강종화 학 성 분 (%)용도CCrMoV펀치, 블랭킹 다이,
냉간성형용 다이, 인발 빛 압출다이STD111.512.01.00.5
…. 강에 영향을 미치는 합금원소의 효과
합금원소첨가효과Cr 내마모성 및 인성 향상Mo 내열성 및 경화능 향상V 경정립 미세화
연관검색어
자연과학 합금공구강 STD11 열처리jo 합금공구강 STD11 열처리 1. 실험 목적

구매평가

구매평가 기록이 없습니다
보상규정 및 환불정책
· 해피레포트는 다운로드 받은 파일에 문제가 있을 경우(손상된 파일/설명과 다른자료/중복자료 등) 1주일이내 환불요청 시
환불(재충전) 해드립니다.  (단, 단순 변심 및 실수로 인한 환불은 되지 않습니다.)
· 파일이 열리지 않거나 브라우저 오류로 인해 다운이 되지 않으면 고객센터로 문의바랍니다.
· 다운로드 받은 파일은 참고자료로 이용하셔야 하며,자료의 활용에 대한 모든 책임은 다운로드 받은 회원님에게 있습니다.

저작권안내

보고서 내용중의 의견 및 입장은 당사와 무관하며, 그 내용의 진위여부도 당사는 보증하지 않습니다.
보고서의 저작권 및 모든 법적 책임은 등록인에게 있으며, 무단전재 및 재배포를 금합니다.
저작권 문제 발생시 원저작권자의 입장에서 해결해드리고 있습니다. 저작권침해신고 바로가기

 

ϰڷٷΰ thinkuniv ķ۽÷