로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너

[정보통신] vhdl을 이용한 디지털시계 소스(lcd,led,도트매트릭스,스탑워치,수정기능)포함 완벽


카테고리 : 레포트 > 공학,기술계열
파일이름 :watch소스.hwp
문서분량 : 50 page 등록인 : redmoonsky77
문서뷰어 : 한글뷰어프로그램 등록/수정일 : 07.12.08 / 07.12.08
구매평가 : 다운로드수 : 17
판매가격 : 3,000

미리보기

같은분야 연관자료
VHDL언어와 스파르탄보드를 이용한 디지털시계제작... 256 pages 15000
보고서설명
여러가지 기능을 포함한 디지털시계 소스입니다
컴파일 이상없구요
구매하시분중 궁금한거나 pt자료가 필요하시면 redmoonsky77@hanmail.net<<<멜 주시면 자료랑 답변 드립니다
단락마다 따로 복사해서 옴겨 컴파일하시면됩니다
*주의사항(컴파일시 엔티티 이름과프로젝트 네임이 같아야합니다)
본문일부/목차
library ieee;
use ieee.std_logic_1164.all;

entity watch_seg is
port (clk : in std_logic;
sw_mode : in std_logic;
sw_f1 : in std_logic;
sw_f2 : in std_logic;
seg_data : out std_logic_vector (7 downto 0);
seg_com : out std_logic_vector (7 downto 0);
led_mode : out std_logic_vector (3 downto 0);
l_mode : in std_logic_vector(1 downto 0);
e, rw : out std_logic;
rs_out : out std_logic;
data : out std_logic_vector(7 downto 0);
sun : in std_logic;
dot_horizon : out std_logic_vector (0 to 13);
dot_vertical : out std_logic_vector (0 to 9));

end watch_seg;

architecture a of watch_seg is

component watch
port (clk : in std_logic;
mode : in integer range 4 downto 0;
sw_f1 : in std_logic;
sw_f2 : in std_logic;
hour : out integer range 23 downto 0;
minute : out integer range 59 downto 0;
second : out integer range 59 downto 0;
sec_hun : out integer range 99 downto 0);
end component;
component dec2seg1
port(number : in integer range 23 downto 0;
seg_ten : out std_logic_vector (6 downto 0);
seg_one : out std_logic_vector (6 downto 0));
연관검색어
vhdl을 이용한 디지털시계 소스 lcd

구매평가

구매평가 기록이 없습니다
보상규정 및 환불정책
· 해피레포트는 다운로드 받은 파일에 문제가 있을 경우(손상된 파일/설명과 다른자료/중복자료 등) 1주일이내 환불요청 시
환불(재충전) 해드립니다.  (단, 단순 변심 및 실수로 인한 환불은 되지 않습니다.)
· 파일이 열리지 않거나 브라우저 오류로 인해 다운이 되지 않으면 고객센터로 문의바랍니다.
· 다운로드 받은 파일은 참고자료로 이용하셔야 하며,자료의 활용에 대한 모든 책임은 다운로드 받은 회원님에게 있습니다.

저작권안내

보고서 내용중의 의견 및 입장은 당사와 무관하며, 그 내용의 진위여부도 당사는 보증하지 않습니다.
보고서의 저작권 및 모든 법적 책임은 등록인에게 있으며, 무단전재 및 재배포를 금합니다.
저작권 문제 발생시 원저작권자의 입장에서 해결해드리고 있습니다. 저작권침해신고 바로가기

 

ϰڷٷΰ thinkuniv ķ۽÷