로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너

[레포트] VHDL 디지털 시계 / / digital clock.VHD ..


카테고리 : 레포트 > 기타
파일이름 :VHDL-디지털 시계.hwp
문서분량 : 20 page 등록인 : behappy20
문서뷰어 : 한글뷰어프로그램 등록/수정일 : 07.12.07 / 07.12.07
구매평가 : 다운로드수 : 10
판매가격 : 1,000

미리보기

같은분야 연관자료
보고서설명
digital_clock.VHD DIGITAL...
본문일부/목차
digital_clock.VHD DIGITAL_CLOCK에 대한 FINAL FILE LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY digital_clock IS PORT ( -- 10KHz 클럭입력 CLK IN STD_LOGIC; -- 모드 변환 버튼 SW_MODE IN STD_LOGIC; -- 설정 위치 변경 버튼 SW_F1 IN STD_LOGIC; -- 값 변경 버튼 SW_F2 IN STD_LOGIC; -- 7segment data SEGMENT OUT STD_LOGIC_VECTOR (7 DOWNTO 0); -- 7segment 디스플레이 위치 지정 COMMON OUT STD_LOGIC_VECTOR (7 DOWNTO 0); -- 알람 설정 표시 ALARM_ON OUT STD_LOGIC; -- 알람 출력( LED로 표시한다.) BEEP OUT STD_LOGIC; -- 모드 표시 LED_MODE OUT STD_LOGIC_VECTOR (2 DOWNTO 0)); END digital_clock; ARCHITECTURE A OF digital_clock IS --10KHz의 클럭입력을 사용하여 1Hz와 100Hz의 클럭을 발생시킨다. COMPONENT CLK_DIV PORT ( CLK IN STD_LOGIC; CLK_1H OUT STD_LOGIC; CLK_100H OUT STD_LOGIC ); END COMPONENT; --선택모드를 위한 설정. COMPONENT WATCH PORT ( CLK_1H IN STD_LOGIC; CLK_100H IN STD_LOGIC; SW_MODE IN STD_LOGIC; SW_F1 IN STD_LOGIC; SW_F2 IN STD_LOGIC; ...
연관검색어
레포트 VHDL 디지털 시계 / digital clock.VHD

구매평가

구매평가 기록이 없습니다
보상규정 및 환불정책
· 해피레포트는 다운로드 받은 파일에 문제가 있을 경우(손상된 파일/설명과 다른자료/중복자료 등) 1주일이내 환불요청 시
환불(재충전) 해드립니다.  (단, 단순 변심 및 실수로 인한 환불은 되지 않습니다.)
· 파일이 열리지 않거나 브라우저 오류로 인해 다운이 되지 않으면 고객센터로 문의바랍니다.
· 다운로드 받은 파일은 참고자료로 이용하셔야 하며,자료의 활용에 대한 모든 책임은 다운로드 받은 회원님에게 있습니다.

저작권안내

보고서 내용중의 의견 및 입장은 당사와 무관하며, 그 내용의 진위여부도 당사는 보증하지 않습니다.
보고서의 저작권 및 모든 법적 책임은 등록인에게 있으며, 무단전재 및 재배포를 금합니다.
저작권 문제 발생시 원저작권자의 입장에서 해결해드리고 있습니다. 저작권침해신고 바로가기

 

߰ڷٷΰ thinkuniv ķ۽÷