로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너

복잡한 회로 설계 - [VHDL] 4비트 가산기 설계


카테고리 : 레포트 > 공학,기술계열
파일이름 :복잡한 회로 설계 - [VHDL] 4.hwp
문서분량 : 6 page 등록인 : leewk2547
문서뷰어 : 한글뷰어프로그램 등록/수정일 : 14.04.16 / 14.04.17
구매평가 : 다운로드수 : 3
판매가격 : 1,500

미리보기

같은분야 연관자료
[전자회로실험] Orcad 실험... 9 pages 2000
메카트로닉 - plc[Programmable Logic Controller]에 대해서... 17 pages 2000
반도체 재료 및 공정 ... 6 pages 1000
반도체 공정의 전반적인 이해... 19 pages 2400
[디지털 논리 회로] 디지털 공중전화... 16 pages 2000
보고서설명
4비트 가산기는 4비트인 2개의 입력신호를 더하는 역할을 한다. 예를 들어 1011 + 1100 = 10111이다.
기본적인 4비트 병렬 가산기는 4개의 전가산기로 구성된다.
두 개의 입력 신호는 , 로 주어지며, 각 가산기의 캐리 출력은 다음 상위 가산기의 캐리 입력이 된다.
본문일부/목차
LIBRARY ieee; USE ieee.std_logic_1164.all;

ENTITY adder4 IS
PORT (A1, B1, A2, B2, A3, B3, A4, B4 : in std_logic; -- 2개의 입력포트 선언
CIN : in std_logic; -- Input Carry
COUT : out std_logic; -- Output Carry
SUM : out std_logic_vector(4 downto 1));
END adder4; -- 내림차순으로 4개의 SUM 출력 포트 선언

ARCHITECTURE sample OF adder4 IS
SIGNAL carry1, carry2, carry3 : std_logic;
-- 4개의 Bit Adder 사이에 있는 3개의 출력캐리신호를 선언
-- 첫 번째 출력캐리가 다음 가산기의 입력캐리가 된다
component bitadder port (A1, B1, CIN : IN std_logic;
COUT, SUM1 : OUT std_logic);
END component; -- 컴포넌트의 Bit Adder 내부신호포트 선언

BEGIN -- 컴포넌트 사례화문
add1 : bitadder port map (A1, B1, CIN, carry1, SUM(1));
add2 : bitadder port map (A2, B2, carry1, carry2, SUM(2));
add3 : bitadder port map (A3, B3, carry2, carry3, SUM(3));
add4 : bitadder port map (A4, B4, carry3, COUT, SUM(4));
END sample; -- 형식 매개변수와 실제 매개변수가 순차적으로 연결된다
연관검색어
복잡한 회로 설계

구매평가

구매평가 기록이 없습니다
보상규정 및 환불정책
· 해피레포트는 다운로드 받은 파일에 문제가 있을 경우(손상된 파일/설명과 다른자료/중복자료 등) 1주일이내 환불요청 시
환불(재충전) 해드립니다.  (단, 단순 변심 및 실수로 인한 환불은 되지 않습니다.)
· 파일이 열리지 않거나 브라우저 오류로 인해 다운이 되지 않으면 고객센터로 문의바랍니다.
· 다운로드 받은 파일은 참고자료로 이용하셔야 하며,자료의 활용에 대한 모든 책임은 다운로드 받은 회원님에게 있습니다.

저작권안내

보고서 내용중의 의견 및 입장은 당사와 무관하며, 그 내용의 진위여부도 당사는 보증하지 않습니다.
보고서의 저작권 및 모든 법적 책임은 등록인에게 있으며, 무단전재 및 재배포를 금합니다.
저작권 문제 발생시 원저작권자의 입장에서 해결해드리고 있습니다. 저작권침해신고 바로가기

 

ϰڷٷΰ thinkuniv ķ۽÷