로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너

디지털 논리 설계 - Altera Max+plus II 스탑워치 설계


카테고리 : 레포트 > 공학,기술계열
파일이름 :디지털 논리 설계 - Altera.pptx
문서분량 : 14 page 등록인 : leewk2547
문서뷰어 : MS-파워포인트뷰어프로그램 등록/수정일 : 13.12.11 / 13.12.11
구매평가 : 다운로드수 : 1
판매가격 : 2,000

미리보기

같은분야 연관자료
디지털 논리 설계 [디지털 시계 제작] (인천대학교 전자공학과)... 65 pages 2000
[전기전자회로실험] 디지털 논리 관련 설계자료... 20 pages 3000
디지털 논리회로 설계 및 실습 - 논리 프로브 구성 결과 보고서... 4 pages 2000
디지털논리회로 - 고속 동작 곱셈기 설계... 7 pages 2000
[디지털 논리 설계 이론] 종이 헬리콥터 제작 (인천대학교 전자공학과)... 54 pages 2000
보고서설명
강의시간에 배운 이론을 바탕으로
실제 상품화 될 수 있는 하드웨어를
Altera Max+plus II 프로그램을
사용하여 설계한 팀 프로젝트
결과입니다.`
본문일부/목차
입 력
- CLK : 클럭입력 (100Hz)
- CLEAR : 클리어입력, 0이 되면
모든 출력이 0으로 리셋
- START_STOP : 시간증가 / 정지모드 입력
0일때 정지모드, 1일때 증가모드


출 력
- SEC_10_D : 초단위 10의자리 출력
- SEC_1_D : 초단위 1의자리 출력
- mSEC_10_D : 0.1초단위 출력
- mSEC_1_D : 0.01초단위 출력


상세 설명
① 초기 설정 : 초기에 표시되는 값은
00(초):00(.00초)
START_STOP이 1이면 증가모드로 전환
② 시간 증가모드
00:00 → 00:99 → 01:00 → 59:99
→ 00:00
③ CLR 버튼이 눌러지면 초기값인 00:00
으로 리셋
연관검색어
디지털 논리 설계

구매평가

구매평가 기록이 없습니다
보상규정 및 환불정책
· 해피레포트는 다운로드 받은 파일에 문제가 있을 경우(손상된 파일/설명과 다른자료/중복자료 등) 1주일이내 환불요청 시
환불(재충전) 해드립니다.  (단, 단순 변심 및 실수로 인한 환불은 되지 않습니다.)
· 파일이 열리지 않거나 브라우저 오류로 인해 다운이 되지 않으면 고객센터로 문의바랍니다.
· 다운로드 받은 파일은 참고자료로 이용하셔야 하며,자료의 활용에 대한 모든 책임은 다운로드 받은 회원님에게 있습니다.

저작권안내

보고서 내용중의 의견 및 입장은 당사와 무관하며, 그 내용의 진위여부도 당사는 보증하지 않습니다.
보고서의 저작권 및 모든 법적 책임은 등록인에게 있으며, 무단전재 및 재배포를 금합니다.
저작권 문제 발생시 원저작권자의 입장에서 해결해드리고 있습니다. 저작권침해신고 바로가기

 

ϰڷٷΰ thinkuniv ķ۽÷