로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너

디지털 회로설계 - 고속 동작 덧셈기 설계


카테고리 : 레포트 > 공학,기술계열
파일이름 :디지털 회로설계 - 고속 동작 덧셈기.hwp
문서분량 : 15 page 등록인 : leewk2547
문서뷰어 : 한글뷰어프로그램 등록/수정일 : 13.07.30 / 13.07.30
구매평가 : 다운로드수 : 0
판매가격 : 2,000

미리보기

같은분야 연관자료
디지털 회로설계 - 고속 동작 곱셈기 설계... 9 pages 2000
디지털회로 설계언어 프로젝트 - 자판기 코딩에 대해서... 26 pages 2000
디지털 논리회로 설계 및 실습 - 논리 프로브 구성 결과 보고서... 4 pages 2000
디지털논리회로 - 고속 동작 곱셈기 설계... 7 pages 2000
디지털 회로 - 자판기 설계... 9 pages 1800
보고서설명
1. 제목 : 고속 동작 덧셈기 설계

2. 목적
VHDL을 이용한 고속 동작 덧셈기의 설계를 통해 덧셈 과정에 있어서 carry의 역할을 이해하고 carry 처리 방법에 따른 여러 가지 덧셈기 구조들을 익히며, VHDL의 코딩 방법을 익히고 시뮬레이션 툴의 사용법을 익힌다.

3. 목표 및 기준 설정
본문일부/목차
CLA(Carry Lookahead Adder)는 Ripple Carry Adder에서 carry propagation에 의해 delay가 발생하는 문제점을 보완하기 위해 설계되었다.
CLA는 각 bit의 덧셈 연산을 수행하는 Full adder와 덧셈 과정에서 발생하는 carry만을 미리 계산하는 Carry-lookahead logic block으로 구성되어 있다. 4개의 FA가 4-bit크기의 입력 A(A3A2A1A0)와 B(B3B2B1B0)의 각 자리 bit들을 더해 각각의 sum 값을 출력하고, carry lookahead logic에는 최종 carry-out인 를 출력하기 위한 값들이 입력된다. 이 값들은 각각 generation function과 propagation function으로 불리며, 각 bit의 곱과 합을 나타낸다.

최종 Carry 는 아래와 같이 나타낼 수 있다.

이때 (generation function) 항과 (propagation) 항을 각각 ,로 놓으면 식은 아래와 같이 정리된다.

여기서 는 와 가 모두 1일 경우에만 1이 되는데, 이 경우 의 값에 상관없이 가 1이 된다. 따라서 가 다음 stage의 carry 생성을 보장하기 때문에 를 generation function이라고 한다. 는 와 중 하나라도 1의 값을 가지면 1이 된다. 이 때 이면 carry가 다음 stage로 전달될 수 있다. 이렇게 는 carry를 전파해주는 역할을 하기 때문에 를 propagation function이라고 한다.
위의 식을 에 대해 동일한 방법으로 확장하면 다음과 같은 식을 얻는다.
연관검색어
디지털 회로설계

구매평가

구매평가 기록이 없습니다
보상규정 및 환불정책
· 해피레포트는 다운로드 받은 파일에 문제가 있을 경우(손상된 파일/설명과 다른자료/중복자료 등) 1주일이내 환불요청 시
환불(재충전) 해드립니다.  (단, 단순 변심 및 실수로 인한 환불은 되지 않습니다.)
· 파일이 열리지 않거나 브라우저 오류로 인해 다운이 되지 않으면 고객센터로 문의바랍니다.
· 다운로드 받은 파일은 참고자료로 이용하셔야 하며,자료의 활용에 대한 모든 책임은 다운로드 받은 회원님에게 있습니다.

저작권안내

보고서 내용중의 의견 및 입장은 당사와 무관하며, 그 내용의 진위여부도 당사는 보증하지 않습니다.
보고서의 저작권 및 모든 법적 책임은 등록인에게 있으며, 무단전재 및 재배포를 금합니다.
저작권 문제 발생시 원저작권자의 입장에서 해결해드리고 있습니다. 저작권침해신고 바로가기

 

ϰڷٷΰ thinkuniv ķ۽÷