로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너

디지털 회로설계 - 고속 동작 곱셈기 설계


카테고리 : 레포트 > 공학,기술계열
파일이름 :디지털 회로설계 - 고속 동작 곱셈기.hwp
문서분량 : 9 page 등록인 : leewk2547
문서뷰어 : 한글뷰어프로그램 등록/수정일 : 13.07.30 / 13.07.30
구매평가 : 다운로드수 : 1
판매가격 : 2,000

미리보기

같은분야 연관자료
디지털 회로설계 - 고속 동작 덧셈기 설계... 15 pages 2000
디지털회로 설계언어 프로젝트 - 자판기 코딩에 대해서... 26 pages 2000
디지털 논리회로 설계 및 실습 - 논리 프로브 구성 결과 보고서... 4 pages 2000
디지털논리회로 - 고속 동작 곱셈기 설계... 7 pages 2000
디지털 회로 - 자판기 설계... 9 pages 1800
보고서설명
고속 동작 곱셈기의 설계를 통해 곱셈 과정을 이해하고 곱셈기 구현을 위한 여러 가지 기법들을 익히며 설계 흐름을 숙지한다. 또한 VHDL을 사용한 sequential circuit의 description 방법을 익히고 동작 확인 과정을 통해 simulation tool의 사용법을 익힌다.
본문일부/목차
입출력값은 2s complement를 적용하는데, 곱셈으로 인하여 입력되는 bit보다 두 배로 증가하는 bit 수를 고려하여 output의 bit를 정하고, multiplier의 끝자리 수에 multiplicand를 곱하여 각 자리에 해당하는 partial product를 생성해낸다. 한 번의 과정이 끝날 때마다 multiplier와 result를 1bit씩 right shift함으로써 모든 자리에 해당하는 partial products의 합을 구할 수 있다.
Shift-and-add는 단순하고 이해하기 쉬운 방법이지만 연산 과정이 길어지게 되어 비경제적이다. 이러한 문제점을 고안하기 위해 booth algorithm이 고안되었는데, 따라서 그 목표는 partial products의 숫자를 줄임으로써 연산 과정과 시간을 줄이는 것이다. 연속되는 숫자의 형태에 따라 partial products를 구하면 되는데, multiplier의 맨 끝에 가상의 0을 붙여주고 1bit씩 overlap되게 하여 3-bit의 형태를 보면 된다. 이렇게 각각의 partial product를 구하여 shift하고 더하여 주면 그 결과값을 구할 수 있는데, 이 booth algorithm을 이용하여 계산함으로써 partial products의 숫자를 Shift-and-add의 반으로 줄일 수 있다. 또한 양수나 음수 모두에 적용할 수 있기 때문에 경제적인 방법이라 할 수 있다.
연관검색어
디지털 회로설계

구매평가

구매평가 기록이 없습니다
보상규정 및 환불정책
· 해피레포트는 다운로드 받은 파일에 문제가 있을 경우(손상된 파일/설명과 다른자료/중복자료 등) 1주일이내 환불요청 시
환불(재충전) 해드립니다.  (단, 단순 변심 및 실수로 인한 환불은 되지 않습니다.)
· 파일이 열리지 않거나 브라우저 오류로 인해 다운이 되지 않으면 고객센터로 문의바랍니다.
· 다운로드 받은 파일은 참고자료로 이용하셔야 하며,자료의 활용에 대한 모든 책임은 다운로드 받은 회원님에게 있습니다.

저작권안내

보고서 내용중의 의견 및 입장은 당사와 무관하며, 그 내용의 진위여부도 당사는 보증하지 않습니다.
보고서의 저작권 및 모든 법적 책임은 등록인에게 있으며, 무단전재 및 재배포를 금합니다.
저작권 문제 발생시 원저작권자의 입장에서 해결해드리고 있습니다. 저작권침해신고 바로가기

 

ϰڷٷΰ thinkuniv ķ۽÷