로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너

[전자전기] 논리회로실험 - decoder encoder with en VHDL을 이용한 실험 결과 보고서


카테고리 : 레포트 > 공학,기술계열
파일이름 :[전자전기] decoder_encoder_with_en_VHDL을 이용한 실험 결과보고서.hwp
문서분량 : 4 page 등록인 : february
문서뷰어 : 한글뷰어프로그램 등록/수정일 : 09.11.04 / 09.12.05
구매평가 : 다운로드수 : 2
판매가격 : 500

미리보기

같은분야 연관자료
보고서설명
3x8 Decoder , 8x3 Encoder 설계 1. 실험내용 1)...
본문일부/목차
3x8 Decoder , 8x3 Encoder 설계
ƒ. 실험내용
1) 3x8 Decoder(data flow modeling)
※진리표 ※회로도

ENX
(2)X
(1)X
(0)D
(7)D
(6)D
(5)D
(4)D
(3)D
(2)D
(1)D
(0)0---00000000100000000001100100000010101000000100101100001000110000010000110100100000111001000000111110000000
입력 -- X in std_logic_vector(2 downto 0)
EN in std_logic ☞ Enable
출력 -- D out std_logic_vector(7 downto 0)
„) 3x8 Decoder(Behavioral modeling) - case문 사용
…) 8x3 Encoder(Behavioral modeling) - case문 사용
※진리표
END
(7)D
(6)D
(5)D
(4)D
(3)D
(2)D
(1)D
(0)X
(2)X
(1)X
(0)0--------000100000001000100000010001100000100010100001000011100010000100100100000101101000000110110000000111
※ Source Codeƒ) 3X8 decoder dataflow modeling
library ieee;
use ieee.std_logic_1164.all;

entity decoder_dataflow is
port( en in std_logic;
x in std_logic_vector(2 downto 0);
d out std_logic_ve...
연관검색어
전자전기 decoder encoder with en VHDL을 이용한 실험 결과 보고서

구매평가

구매평가 기록이 없습니다
보상규정 및 환불정책
· 해피레포트는 다운로드 받은 파일에 문제가 있을 경우(손상된 파일/설명과 다른자료/중복자료 등) 1주일이내 환불요청 시
환불(재충전) 해드립니다.  (단, 단순 변심 및 실수로 인한 환불은 되지 않습니다.)
· 파일이 열리지 않거나 브라우저 오류로 인해 다운이 되지 않으면 고객센터로 문의바랍니다.
· 다운로드 받은 파일은 참고자료로 이용하셔야 하며,자료의 활용에 대한 모든 책임은 다운로드 받은 회원님에게 있습니다.

저작권안내

보고서 내용중의 의견 및 입장은 당사와 무관하며, 그 내용의 진위여부도 당사는 보증하지 않습니다.
보고서의 저작권 및 모든 법적 책임은 등록인에게 있으며, 무단전재 및 재배포를 금합니다.
저작권 문제 발생시 원저작권자의 입장에서 해결해드리고 있습니다. 저작권침해신고 바로가기

 

ϰڷٷΰ thinkuniv ķ۽÷