로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너

VHDL의 모든 것


카테고리 : 레포트 > 공학,기술계열
파일이름 :VHD.hwp
문서분량 : 15 page 등록인 : CPIA_powers
문서뷰어 : 한글뷰어프로그램 등록/수정일 : 07.05.50 / 09.12.29
구매평가 : 다운로드수 : 0
판매가격 : 1,900

미리보기

같은분야 연관자료
보고서설명
컴구조회로설계 논리설계컴퓨터구조 VHDL / ()
본문일부/목차


1. VHDL(Very High Speed Integrated Circuit Hardware Description Language) 특징

2. VHDL 규칙과 표현

3. Entity 선언과 Architecture Body 선언

4. 객체(Object)와 자료형(Data Type) 및 연산자(Operator)

5. 동작적 표현(Behavioral Description)과 구조적 표현 (Structural Desciption)

6. 순차 처리문과 병행 처리문

◑ VHDL(Very High Speed Integrated Circuit Hardware Description Language) 특징
VHDL은 IEEE에 의해 공인되어 하드웨어 개발과 문서화에 표준언어로 사용된다. 광범위한 기술 능력으로 시스템 레벨에서 게이트 레벨까지 하드웨어 회로 표현이 가능하다.
◑ VHDL 규칙과 표현
1. VHDL의 규칙
① VHDL은 다른 언어와는 달리 대소문자의 구분을 요구하지 않는다.
② 파일명은 반드시 공백을 가지지 않는 문자열이어야 한다.
③ VHDL에서 문장간의 구별은 여느 프로그램 언어와 같이 세미콜론( ; )으로 표시한다. 또한 주석은 `--`로 표시하며 이는 VHDL의 수행에는 영향을 미치지 않으므로 프로그램에 대한 설명 등의 문서화를 위해서 사용할 수 있다. 주석 부호가 있는 부분부터 그 줄 끝까지 주석문으로 간주한다.
2. VHDL의 기본 구성과 표현
VHDL의 기본 구성으로써 여러 가지 종류의 Design Unit이 있다. 그중 가장 기본이 되는 최소한의 단위로써 Entity Declaration과 Architecture Body가 있다. 객체(Object)와 자료형(Data Type) 및 연산자(Operator) 동작적 표현(Behavioral Description)과 구조적 표현(Structural Description) 순차 처리문과 병행 처리문 Entity 선언과 Architecture Body 선언 ........
연관검색어
컴구조회로설계 논리설계컴퓨터구조 VHDL

구매평가

구매평가 기록이 없습니다
보상규정 및 환불정책
· 해피레포트는 다운로드 받은 파일에 문제가 있을 경우(손상된 파일/설명과 다른자료/중복자료 등) 1주일이내 환불요청 시
환불(재충전) 해드립니다.  (단, 단순 변심 및 실수로 인한 환불은 되지 않습니다.)
· 파일이 열리지 않거나 브라우저 오류로 인해 다운이 되지 않으면 고객센터로 문의바랍니다.
· 다운로드 받은 파일은 참고자료로 이용하셔야 하며,자료의 활용에 대한 모든 책임은 다운로드 받은 회원님에게 있습니다.

저작권안내

보고서 내용중의 의견 및 입장은 당사와 무관하며, 그 내용의 진위여부도 당사는 보증하지 않습니다.
보고서의 저작권 및 모든 법적 책임은 등록인에게 있으며, 무단전재 및 재배포를 금합니다.
저작권 문제 발생시 원저작권자의 입장에서 해결해드리고 있습니다. 저작권침해신고 바로가기

 

ϰڷٷΰ thinkuniv ķ۽÷