로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
카테고리
카테고리
카테고리
카테고리
campusplus
세일즈코너배너
자료등록배너
레포트
VHDL 설계 언어 실습(문법적용) [새창]
[공학,기술계열] 등록일: 2014/01/19 | 등록자: leewk2547 | 판매가격: 2,000 원
library ieee; use ieee.std_logic_1164.all; entity logic1 is port(a,b,c :in bit; y :out bit); end logic1; architecture sample of logic1 is signal w, x : bit; begin no1: process(a,b) begin if (a=1)...
26pages | 다운로드 0 | 구매평가
논리게이트 - VHDL 설계 언어 실습 [새창]
[공학,기술계열] 등록일: 2014/01/19 | 등록자: leewk2547 | 판매가격: 2,000 원
library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample of andgate is begin led <= sw1 and...
26pages | 다운로드 0 | 구매평가
방송통신
서식

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기