로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
카테고리
카테고리
카테고리
카테고리
campusplus
세일즈코너배너
자료등록배너
레포트
디지털논리회로 - VHDL을 이용한 inertial delay와 transport delay 확인 [새창]
[공학,기술계열] 등록일: 2014/06/05 | 등록자: leewk2547 | 판매가격: 2,000 원
Title: VHDL을 이용한 inertial delay와 transport delay 확인 2. purpose: 작성한 vhdl code와 시뮬레이션 결과를 첨부하고, inertial delay와 transport delay의 차이점에 주목하여 결과를 비교한다. 3. Theory
6pages | 다운로드 1 | 구매평가
[전자공학과] VHDL[VHSIC HardwareDescription Language]에 대하여 [새창]
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
단점 5. 하드웨어 디자인과 프로그래밍 언어적 디자인 6. 제품제작에 VHDL이 쓰이는 과정 7. VDHL의 규칙 8. VDHL용어의 정의와 표현 9. VHDL 예약어 / 키워드 10. VHDL 주석 11. VDHL 식별어 12. VDHL 기본구성과...
10pages | 다운로드 0 | 구매평가
[디지털 회로설계] VHDL을 통한 Gray Code 설계 [새창]
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
1. 제목 : VHDL을 통한 Gray Code 설계 2. 개요 : 1) 목적 : Karnaugh map을 이용하여 Gray code를 설계하고 검증하여, Quartus2와 Karnaugh map을 통한 minimization에 대한 이해도를 높인다.
6pages | 다운로드 0 | 구매평가
[공과기술] VHDL 모델링 및 합성을 통한 3색교통 신호 제어기 설계 / VHDL 모델 [새창]
[공학,기술계열] 등록일: 2009/05/18 | 등록자: lspeirs | 판매가격: 1,800 원
VHDL 모델링 및 합성을 통한 3색교통 신호 제어기 설계 목차 1. ...
5pages | 다운로드 4 | 구매평가
VHDL 모델링 및 합성을 통한 3색교통 신호 제어기 설계 [새창]
[공학,기술계열] 등록일: 2007/05/50 | 등록자: CPIA_lovecs | 판매가격: 1,400 원
VHDL모델링 VHDL 교통신호제어 TLC / ()
4pages | 다운로드 2 | 구매평가
방송통신
서식
휴맥스 합격 자기소개서(R&D 연구개발, 2010년 하반기) [새창]
[자기소개서] 등록일: 2013/01/24 | 등록자: kingisking | 판매가격: 2,000 원
어렵게 구한 합격 자기소개서 입니다. 지원하시는 직무 잘 참조하셔서 꼭 서류합격 하시길 바라겠습니다..^^; 감사합니다!
4pages | 다운로드 0 | 구매평가
[자기소개서] KTFT,연구개발 / 자 기 소 개 [새창]
[자기소개서] 등록일: 2007/10/04 | 등록자: yunhos | 판매가격: 900 원
자 기 소 개 서 성장과정 성격의 장 단점 ...
4pages | 다운로드 0 | 구매평가

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기