로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
카테고리
카테고리
카테고리
카테고리
campusplus
세일즈코너배너
자료등록배너
레포트
AVR 스탑워치 만들기 (AVR스탑워치,초시계,디지털초시계,atmega128,회로도,소스코드,동작원리,스톱워치,타이머카운터,세그먼트,s.. [새창]
[공학,기술계열] 등록일: 2015/10/28 | 등록자: zeropointone | 판매가격: 5,000 원
제가 직접 실험하고 공부한 레포트를 정리해서 작성한 압축문서입니다. [구성 : 보고서, 회로도, 소스코드, 결과사진/동영상] 보고서에 실험환경이나 사용된 부품리스트 등이 나와 있기때문에 제공되는 소스코드와...
11pages | 다운로드 29 | 구매평가
C# 윈도우 폼으로 만든 스탑워치 [새창]
[공학,기술계열] 등록일: 2017/12/14 | 등록자: qazxcs123 | 판매가격: 1,000 원
C# 윈도우 폼으로 만든 스탑워치입니다. 윈도우 프로그래밍 과제로 만들었던 프로그램입니다. C#과목을 배우시면 한번쯤 만들어야하는 과제로 스트레스 받지 마시고 1000원 주고 구매하시면 편합니다.
1pages | 다운로드 0 | 구매평가
[공학] 스탑워치 VHDL 설계 [새창]
[공학,기술계열] 등록일: 2013/09/25 | 등록자: leewk2547 | 판매가격: 2,000 원
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_B : in std_logic; SW_C : in...
10pages | 다운로드 3 | 구매평가
[메카트로닉스] 신호등, 스탑워치, 엘리베이트 프로그램 코딩 [새창]
[공학,기술계열] 등록일: 2023/02/22 | 등록자: nav221225094221 | 판매가격: 2,900 원
[메카트로닉스] 신호등, 스탑워치, 엘리베이트 프로그램 코딩 에 관한 내용입니다. 자세한 내용은 첨부자료를 확인해주세요.
28pages | 다운로드 0 | 구매평가
System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간설정 + 알람설정 구현 [새창]
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 3,000 원
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity easy_clock is port ( clk_in: in std_logic; resetn: in std_logic;
47pages | 다운로드 0 | 구매평가
방송통신
서식

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기