로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
공압제어 실습강의9(방향제어밸브) [새창] →미리보기
[공학,기술계열] 등록일: 2016/02/17 | 등록자: hb2577 | 판매가격: 1,500 원
본 자료는 공업전문대학교 공학부의 메카트로닉스과 공압제어실습 중간고사(8주차) 이후 강의9에 이용되는 자료로서 방향제어밸브에 대해 상세하게 설명하였습니다.
29 pages | 다운로드 1 | 구매평가
제어공학실험 - 1차 지연요소 [새창] →미리보기
[공학,기술계열] 등록일: 2016/02/13 | 등록자: leewk2547 | 판매가격: 2,000 원
1. 실험목적 입력에 대한 출력의 시간응답특성이 시정수에 의하여 1차 지연을 갖는 요소의 회로 해석 및 특성을 관측한다. 물리적으로 이런 시스템은 R-C회로나 열시스템 등을 나타낸다. 2. 실험회로
7 pages | 다운로드 0 | 구매평가
기계공작법 - 열처리에 관해 [새창] →미리보기
[공학,기술계열] 등록일: 2016/02/13 | 등록자: leewk2547 | 판매가격: 2,000 원
1. 열처리의 정의 2. 열처리의 종류 - Quenching(퀜칭)(담금질) - Tempering(템퍼링)(뜨임) - Normalizing(노멀라이징)(불림) (표준화 작업) - Annealing(어닐링)(풀림) 3. 개인적...
6 pages | 다운로드 1 | 구매평가
기계과 전공자를 위한 전공면접 대비 자료 [새창] →미리보기
[공학,기술계열] 등록일: 2016/02/05 | 등록자: hb2577 | 판매가격: 3,000 원
본 자료는 대학교, 공업전문대학교 기계공학과 졸업생의 대기업, 중견기업 취업 전공면접 대비 자료입니다.
9 pages | 다운로드 11 | 구매평가
전기자기학 연습문제풀이 [새창] →미리보기
[공학,기술계열] 등록일: 2016/01/29 | 등록자: hb2577 | 판매가격: 3,500 원
본 자료는 대학교, 공업전문대학교 전기공학과의 전기자기학 과목 강의에 이용되는 자료로서 중간고사, 기말고사와 자격증 전기기사, 전기산업기사 전기자기학 과목에 자주 출제되는 문제 유형의 자료입니다.
19 pages | 다운로드 4 | 구매평가
전력전자 Boost-Converter 설계 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2015/11/29 | 등록자: pjh2174 | 판매가격: 2,000 원
전력전자 수업시간 때 Boost Converter를 설계한 후 보고서 작성 내용입니다. 스위칭 주파수와 C와 L의 Trade off과정도 상세하게 기술했습니다.
9 pages | 다운로드 0 | 구매평가
IT전공 기출문제와 출처 그리고 그에관한 개념정리 [새창] →미리보기
[공학,기술계열] 등록일: 2015/11/29 | 등록자: pjh2174 | 판매가격: 5,000 원
2014년 상반기 하반기를 준비하기 위해 작성한 자료입니다. IT면접을 보게되는 경우 방대한 영역을 준비하는게 부담이 될 수 있습니다. 이것을 보신다면 넓게 질문들과 개념정리한 것을 보시면서 조금이나마 도움이...
16 pages | 다운로드 0 | 구매평가
AVR 스탑워치 만들기 (AVR스탑워치,초시계,디지털초시계,atmega128,회로도,소스코드,동작원리,스톱워치,타이머카운터,세그먼트,segment,타이머 [새창] →미리보기
[공학,기술계열] 등록일: 2015/10/28 | 등록자: zeropointone | 판매가격: 5,000 원
제가 직접 실험하고 공부한 레포트를 정리해서 작성한 압축문서입니다. [구성 : 보고서, 회로도, 소스코드, 결과사진/동영상] 보고서에 실험환경이나 사용된 부품리스트 등이 나와 있기때문에 제공되는 소스코드와...
11 pages | 다운로드 29 | 구매평가
연안과 호수의 부영양화 [새창] →미리보기
[공학,기술계열] 등록일: 2015/09/18 | 등록자: tnrwkvs225 | 판매가격: 1,000 원
연안과 호수의 부영양화 부영양화는 생활하수, 산업폐수등의 유입으로 탄소, 질소, 인과 같이 조류의 번식에 영양분이 되는 물질이 축적될 때 조류의 광합성이 급증하여 대량으로 번식하는 현상으로 자연적으로...
10 pages | 다운로드 0 | 구매평가
열전달: 열교환기 실험 [새창] →미리보기
[공학,기술계열] 등록일: 2015/05/22 | 등록자: yangbaes | 판매가격: 1,500 원
열전달 수업중의 열교환기 실험 관련 레포트로 1.열교환기의 원리 2.열교환기의 종류 3. 열교환기 선정 방법 4. 열교환기 열전달에 관한 실험 결과 5. 오차분석 6. LMTD(Log Mean Temperature Difference) Method...
10 pages | 다운로드 0 | 구매평가
프로그래밍 언어 및 실습 - 헤더 파일 및 함수 정리 [새창] →미리보기
[공학,기술계열] 등록일: 2015/05/12 | 등록자: leewk2547 | 판매가격: 2,500 원
1. 헤더 파일 및 함수 정리 2. 그 외 함수를 찾지 못한 헤더 파일 정리 3. 출처
19 pages | 다운로드 0 | 구매평가
컴퓨터네트워크 - 자바 소켓프로그래밍을 이용한 야구게임 [새창] →미리보기
[공학,기술계열] 등록일: 2015/05/12 | 등록자: leewk2547 | 판매가격: 1,800 원
이 프로그램은 TCP 소켓으로 선택하여 진행하였다. 서버에서 중복되지 않은 임의의 숫자 3개를 생성 한 후 클라이언트에서 중복되지 않은 숫자 3개를 입력 받는다. 클라이언트에서 중복된 숫자를 입력하면 다시...
8 pages | 다운로드 0 | 구매평가
자동차 공학 - 차체강판의 종류 및 공법 [새창] →미리보기
[공학,기술계열] 등록일: 2015/05/12 | 등록자: leewk2547 | 판매가격: 3,000 원
차체 강판의 종류 강판 알루미늄 합금 수지 탄소섬유강화플라스틱 강판의 성형방법 프레스 공법 하이드로포밍 공법 TWB 공법 핫스템핑 공법
42 pages | 다운로드 0 | 구매평가
우주전자기초 및 실험 결과보고서 - Parallel Resistance, Parallel dc Circuits Rheostats an.. [새창] →미리보기
[공학,기술계열] 등록일: 2015/05/12 | 등록자: leewk2547 | 판매가격: 1,500 원
병렬연결에서 전체저항은 저항의 제일 작은 값보다 작다는 결론이 증명되었는가? - 제일 작은 저항 값이 이고 전체저항이 이므로 증명되었다. 병렬연결에서 한 저항이 다른 저항들보다 매우 작으면 전체...
5 pages | 다운로드 0 | 구매평가
계면과학 실험 - 기판의 roughness와 표면처리에 따라서 접촉각이 어떻게 변하는지 관찰하고 이를 바탕으로 부착일과 Critical Surfac [새창] →미리보기
[공학,기술계열] 등록일: 2015/05/12 | 등록자: leewk2547 | 판매가격: 1,500 원
※ 전체적으로 Roughness가 증가함에 따라 접촉각이 점점 감소하는 경향을 보인다. a) Surface Roughness Wenzel 식에 의해 접촉각과 조도 사이의 관계를 알 수 있다. ( : 측정된 접촉각, : 사용한 고체평면과...
5 pages | 다운로드 4 | 구매평가
rindex = [1]

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기