로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
디지털논리회로 - VHDL을 이용한 inertial delay와 transport delay 확인 [새창] →미리보기
[공학,기술계열] 등록일: 2014/06/05 | 등록자: leewk2547 | 판매가격: 2,000 원
Title: VHDL을 이용한 inertial delay와 transport delay 확인 2. purpose: 작성한 vhdl code와 시뮬레이션 결과를 첨부하고, inertial delay와 transport delay의 차이점에 주목하여 결과를 비교한다. 3. Theory
6 pages | 다운로드 1 | 구매평가
[전자공학과] VHDL[VHSIC HardwareDescription Language]에 대하여 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
단점 5. 하드웨어 디자인과 프로그래밍 언어적 디자인 6. 제품제작에 VHDL이 쓰이는 과정 7. VDHL의 규칙 8. VDHL용어의 정의와 표현 9. VHDL 예약어 / 키워드 10. VHDL 주석 11. VDHL 식별어 12. VDHL 기본구성과...
10 pages | 다운로드 0 | 구매평가
[디지털 회로설계] VHDL을 통한 Gray Code 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
1. 제목 : VHDL을 통한 Gray Code 설계 2. 개요 : 1) 목적 : Karnaugh map을 이용하여 Gray code를 설계하고 검증하여, Quartus2와 Karnaugh map을 통한 minimization에 대한 이해도를 높인다.
6 pages | 다운로드 0 | 구매평가
[공과기술] VHDL 모델링 및 합성을 통한 3색교통 신호 제어기 설계 / VHDL 모델 [새창] →미리보기
[공학,기술계열] 등록일: 2009/05/18 | 등록자: lspeirs | 판매가격: 1,800 원
VHDL 모델링 및 합성을 통한 3색교통 신호 제어기 설계 목차 1. ...
5 pages | 다운로드 4 | 구매평가
VHDL 모델링 및 합성을 통한 3색교통 신호 제어기 설계 [새창]
[공학,기술계열] 등록일: 2007/05/50 | 등록자: CPIA_lovecs | 판매가격: 1,400 원
VHDL모델링 VHDL 교통신호제어 TLC / ()
4 pages | 다운로드 2 | 구매평가
VHDL의 모든 것 [새창]
[공학,기술계열] 등록일: 2007/05/50 | 등록자: CPIA_powers | 판매가격: 1,900 원
컴구조회로설계 논리설계컴퓨터구조 VHDL / ()
15 pages | 다운로드 0 | 구매평가
[VHDL] Digital stop watch[디지털 스톱 워치] 설계 소스 - 키트와 쿼터스를 이용 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 2,000 원
높고 Digital 시계가 익숙한 현 세대에 더욱 선호되는 편이다. 이번 프로젝트 설계를 통해 학기 동안 배웠던 VHDL 문법을 복습하고, 나아가 더 복잡한 구조를 해석하고 설계할 수 있는 능력을 기를 수 있으며 키트의...
11 pages | 다운로드 5 | 구매평가
[디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2012/06/13 | 등록자: leewk2547 | 판매가격: 1,800 원
산술논리 연산장치 ALU는 중앙처리장치의 일부로서 컴퓨터 명령어 내에 있는 연산자들에 대해 연산과 논리동작을 담당한다. 일반적으로 ALU는 입력된 연산자와, 현재 더해지고 있는 연산자, 누산기에 저장된 결과,...
9 pages | 다운로드 1 | 구매평가
[레포트] kn3234502 VHDL이란 / VHDL이란 VHDL(VHSIC Hardwa [새창] →미리보기
[기타] 등록일: 2008/08/13 | 등록자: skadkfl | 판매가격: 500 원
VHDL이란 VHDL(VHSIC Hardware Description L...
3 pages | 다운로드 1 | 구매평가
VHDL [새창]
[기타] 등록일: 2007/05/50 | 등록자: CPIA_jykim | 판매가격: 1,000 원
VHDL 하드웨어 시스템 / ()
2 pages | 다운로드 2 | 구매평가
논리회로설계 - vhdl을 이용한 도어락(door lock) 설계 [새창] →미리보기
[자연과학계열] 등록일: 2014/04/21 | 등록자: leewk2547 | 판매가격: 2,000 원
1. 도어락의 개요 도어락은 번호를 입력받아서 그 번호가 일치할 경우 문이 열리고 일정 횟수 이상을 틀릴 경우 알람이 작동한다. 2. 입력, 출력 및 상태 ① 입력 - 클럭 : clk - 리셋 : rst - 입력버튼 :...
13 pages | 다운로드 16 | 구매평가
복잡한 회로 설계 - [VHDL] 4비트 가산기 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 1,500 원
4비트 가산기는 4비트인 2개의 입력신호를 더하는 역할을 한다. 예를 들어 1011 + 1100 = 10111이다. 기본적인 4비트 병렬 가산기는 4개의 전가산기로 구성된다. 두 개의 입력 신호는 , 로 주어지며, 각 가산기의...
6 pages | 다운로드 3 | 구매평가
논리게이트 - VHDL 설계 언어 실습 [새창] →미리보기
[공학,기술계열] 등록일: 2014/01/19 | 등록자: leewk2547 | 판매가격: 2,000 원
library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample of andgate is begin led <= sw1 and...
26 pages | 다운로드 0 | 구매평가
VHDL 설계 언어 실습(문법적용) [새창] →미리보기
[공학,기술계열] 등록일: 2014/01/19 | 등록자: leewk2547 | 판매가격: 2,000 원
library ieee; use ieee.std_logic_1164.all; entity logic1 is port(a,b,c :in bit; y :out bit); end logic1; architecture sample of logic1 is signal w, x : bit; begin no1: process(a,b) begin if (a=1)...
26 pages | 다운로드 0 | 구매평가
전자 제어 - vhdl 자동문 만들기 [새창] →미리보기
[자연과학계열] 등록일: 2013/12/12 | 등록자: leewk2547 | 판매가격: 2,000 원
노약자, 장애인 등의 이용공간에서 수동문의 단점을 완벽하게 극복 각종 안전장치로 시스템을 통제되므로 기존 수동문에서 보여왔던 손끼임 현상, 도어이탈에 따른 사고 등을 예방
11 pages | 다운로드 1 | 구매평가
rindex = [1]
123

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기