로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
[정보통신] vhdl을 이용한 디지털시계 소스(lcd,led,도트매트릭스,스탑워치,수정기능)포함 완벽 [새창] →미리보기
[공학,기술계열] 등록일: 2007/12/08 | 등록자: redmoonsky77 | 판매가격: 3,000 원
여러가지 기능을 포함한 디지털시계 소스입니다 컴파일 이상없구요 구매하시분중 궁금한거나 pt자료가 필요하시면 redmoonsky77@hanmail.net<<<멜 주시면 자료랑 답변 드립니다 단락마다 따로 복사해서 옴겨...
50 pages | 다운로드 17 | 구매평가
디지털시스템설계 - ARM7 Thumb instruction을 수행하는 microprocessor VHDL 레포트 [새창] →미리보기
[공학,기술계열] 등록일: 2019/05/24 | 등록자: dkalshek | 판매가격: 10,000 원
수행하는 microprocessor을 VHDL로 설계한다. 이에 대한 코드와 레포트 모두 있습니다. vhdl 실행 하시면 그냥 실행됩니다. 아주대학교 양회석 교수님 19-1학기 과제2 입니다. 매우 어려웠던 과제이며 학생들...
13 pages | 다운로드 0 | 구매평가
VHDL을 사용한 동기화 계수기(Synchronous Counter) 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2011/06/17 | 등록자: dhk1231 | 판매가격: 2,000 원
입력되는 하나의 클럭을 이용하여 4개의 분주기에서 각각 10, 100, 1000, 10000 분주되는 동기화 계수기를 작성하였습니다. 간단한 회로 설계도로 회로를 알기 쉽게 나타내었으며, 본 설계를 위해 필요했던...
9 pages | 다운로드 0 | 구매평가
디지털 논리회로 111 detection(감지) [새창] →미리보기
[공학,기술계열] 등록일: 2017/05/30 | 등록자: secretnote89 | 판매가격: 1,000 원
자일링스를 이용한 111detection 이다. 1이 3개 연속 들어온 경우 감지하여 output으로 1을 출력하며 연속된 111 이 3번 나온 후 더이상 출력 하지 않는다.
9 pages | 다운로드 0 | 구매평가
[디지털 회로설계] 1-Bit Full Adder를 통한 4-Bit Full Adder 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
설계 2. 개요 : 1) 목적 : 1-bit full adder를 통한 4-bit full adder를 설계하여 adder에 대한 이해도를 높인다. N-bit adder로 확장하는 방식을 익혀 계층구조를 이해하고 VHDL의 PORT MAP 사용법을 익힌다.
9 pages | 다운로드 1 | 구매평가
[디지털 회로 설계] 4-Bit D Flip Flop 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
D Flip Flop 설계 2. 개요 : 1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gate의 구현과 process로의 구현의...
8 pages | 다운로드 0 | 구매평가
디지털논리회로 - 고속 동작 곱셈기 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2014/06/05 | 등록자: leewk2547 | 판매가격: 2,000 원
sequential circuit의 설계 흐름을 숙지한다. 또한 VHDL을 이용한 곱셈기 설계를 통해 VHDL을 이용한 sequential circuit의 description 방법을 익히고 동작 확인 과정을 통해 simulation tool의 사용법을 익힌다....
7 pages | 다운로드 0 | 구매평가
고급디지털 회로설계 - 111 DETECTOR 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/12/11 | 등록자: leewk2547 | 판매가격: 2,000 원
설계 내용 VHDL을 이용하여 연속적인 111을 detect하여 111의 개수를 count 한다. 또한, 111이 15번 count되면 동작을 멈추어야 하며, S=1이 입력되었을 경우에는 처음으로 되돌아가는 프로그램을 설계한다. 2....
15 pages | 다운로드 1 | 구매평가
디지털 회로설계 - 고속 동작 덧셈기 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
2. 목적 VHDL을 이용한 고속 동작 덧셈기의 설계를 통해 덧셈 과정에 있어서 carry의 역할을 이해하고 carry 처리 방법에 따른 여러 가지 덧셈기 구조들을 익히며, VHDL의 코딩 방법을 익히고 시뮬레이션 툴의...
15 pages | 다운로드 0 | 구매평가
디지털 회로설계 - 고속 동작 곱셈기 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
설계를 통해 곱셈 과정을 이해하고 곱셈기 구현을 위한 여러 가지 기법들을 익히며 설계 흐름을 숙지한다. 또한 VHDL을 사용한 sequential circuit의 description 방법을 익히고 동작 확인 과정을 통해 simulation...
9 pages | 다운로드 1 | 구매평가
[디지털 회로설계] Moore , Mealy Type Finite State Machine [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
작성한 후 두 가지 모두에 대하여 각각 VHDL code를 작성하여 시뮬레이션을 수행하여 미리 예상한 결과와 비교하여 본다. 이를 통해 Moore type과 meanly type의 이해도를 높이고 그 동작을 비교하여 파악한다. D...
9 pages | 다운로드 0 | 구매평가
[디지털 시스템설계] 용어조사 [새창] →미리보기
[교육계열] 등록일: 2012/04/28 | 등록자: leewk2547 | 판매가격: 1,800 원
전자공학에서 하드웨어 기술 언어(- 記述 言語, hardware description language)는 전자회로를 정밀하게 기술하는 데 사용하는 컴퓨터 언어이다. 흔히 HDL이라고 줄여쓰기도 한다. 회로의 원하는 동작을 기술할 수도...
10 pages | 다운로드 0 | 구매평가
[지방시대 성장엔진의 주역들](14)차세대 이동통신-부산·경남·광주·전북 [새창]
[기타] 등록일: 2004/05/12 | 등록자: etnews | 판매가격: 300 원
[지방시대 성장엔진의 주역들](14)차세대 이동통신-부산·경남·광주·전북
1 pages | 다운로드 0 | 구매평가
rindex = [1]
123

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기