로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
[공학] 스탑워치 VHDL 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/09/25 | 등록자: leewk2547 | 판매가격: 2,000 원
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_B : in std_logic; SW_C : in...
10 pages | 다운로드 3 | 구매평가
vhdl doorlock(레포트) [새창] →미리보기
[공학,기술계열] 등록일: 2013/05/29 | 등록자: heachel12 | 판매가격: 500 원
reset은 sw17, sw0는 상승엣지 때마다 버튼 잠금이 풀렸다 잠겼다함. key3로 세그먼트값 증가 state별 비밀번호 일치시 다음 state로 넘어감 7-세그먼트는 좌측부터 시작함. SW17을 올리면 reset이 되어 s0로...
4 pages | 다운로드 0 | 구매평가
[전자전기] 논리회로실험 - FSM 자판기설계 VHDL을 이용한 실험 결과 보고서 / FPGA [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
FPGA기반 보드에서의 LED 동작 설계 ※ LED 동작 1. 블록다이...
5 pages | 다운로드 9 | 구매평가
[레포트] VHDL 디지털 시계 / / digital clock.VHD .. [새창] →미리보기
[기타] 등록일: 2007/12/07 | 등록자: behappy20 | 판매가격: 1,000 원
digital_clock.VHD DIGITAL...
20 pages | 다운로드 10 | 구매평가
VHDL언어와 스파르탄보드를 이용한 디지털시계제작 [새창] →미리보기
[공학,기술계열] 등록일: 2013/01/21 | 등록자: taek3643 | 판매가격: 15,000 원
VHDL언어와 자일링스사의 스파르탄보드를 이용한 디지털시계제작 레포트입니다. 모든 소스코드는 LCD파일에 들어있습니다. A+를 맞은 자료입니다.
256 pages | 다운로드 0 | 구매평가
[전자전기] 논리회로실험 - 1bit 4bits comparator VHDL을 이용한 실험 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
1bit 비교기, 4bits 비교기
5 pages | 다운로드 1 | 구매평가
[컴퓨터공학] VHDL로 짠 3버튼 스탑와치입니다.Stop watch [새창]
[공학,기술계열] 등록일: 2008/10/10 | 등록자: ladyyes | 판매가격: 4,000 원
VHDL로 짠 3버튼 스탑와치입니다.I ISE 네비게이터로 짠 겁니다.. 쏘스 파일과 실행 파일도 있고 설명도 되있으니 도움 되실겁니다.. 필요하신분만 가져가시기를...
16 pages | 다운로드 5 | 구매평가
[컴퓨터] VHDL / 부동소수점 가산기 / floating point adder 전체 소스코드 (IEEE754) [새창]
[공학,기술계열] 등록일: 2008/04/25 | 등록자: oldboybe | 판매가격: 2,000 원
부동소수점의 연산을 VHDL 언어로 구현함을 목표로 한다. 숫자의 부동소수점 표현은 두 부분으로 구성되어 있다. 첫 번째는 가수라고 하는 부호화된 고정소수점 숫자이고 두 번째는 지수라고 하는 십진 또는 이진...
50 pages | 다운로드 1 | 구매평가
VHDL에 관하여 [새창]
[기타] 등록일: 2007/05/50 | 등록자: CPIA_lovecs | 판매가격: 3,500 원
Component Instantiation Entity Architecture Configuration / ()
21 pages | 다운로드 0 | 구매평가
[전자전기] 논리회로 실험 - RAM VHDL을 이용한 실험 결과 보고서 / 메모리 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
메모리 설계 ※ 실험내용 § RAM(Random Access Memor...
3 pages | 다운로드 1 | 구매평가
[전자전기] or gate VHDL을 이용한 실험 결과 보고서 / OR gat [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
OR-gate 설계 1. 실험내용 ■ Schematic & Truth ...
3 pages | 다운로드 0 | 구매평가
[전자전기] 논리회로실험 - full half adder VHDL을 이용한 실험 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
Half Adder, Full Adder 설계 1. 실험내용 1) 반가...
5 pages | 다운로드 1 | 구매평가
[전자전기] 논리회로실험 - d-ff & register VHDL을 이용한 실험 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
D-FF, 8bit Register 설계 1. 실험내용 1) D-FF(...
4 pages | 다운로드 1 | 구매평가
[전자전기] 논리회로실험 - decoder encoder with en VHDL을 이용한 실험 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
3x8 Decoder , 8x3 Encoder 설계 1. 실험내용 1)...
4 pages | 다운로드 2 | 구매평가
[전자전기] 논리회로실험 - 7 SEG VHDL을 이용한 실험 결과 보고서 / 7 Segmen [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
7-Segment 제어기 설계 1. 실험내용 구분seg_selMSB -...
3 pages | 다운로드 1 | 구매평가
rindex = [1]
123

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기