로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 기타 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
KT, 통합단말칩 개발 배경과 전망 [새창]
[기타] 등록일: 2005/04/24 | 등록자: etnews | 판매가격: 300 원
KT, 통합단말칩 개발 배경과 전망
1 pages | 다운로드 0 | 구매평가
`IT SoC기반조성사업` 뭘 담았나 [새창]
[기타] 등록일: 2004/03/30 | 등록자: etnews | 판매가격: 300 원
`IT SoC기반조성사업` 뭘 담았나
1 pages | 다운로드 0 | 구매평가
[테마특강]지능형 SoC로봇의 현재와 미래 [새창]
[기타] 등록일: 2004/02/16 | 등록자: etnews | 판매가격: 300 원
[테마특강]지능형 SoC로봇의 현재와 미래
1 pages | 다운로드 0 | 구매평가
[ETRI 선정 10대 IT기술·산업 전망](9)핵심부품 [새창]
[기타] 등록일: 2003/05/20 | 등록자: etnews | 판매가격: 300 원
[ETRI 선정 10대 IT기술·산업 전망](9)핵심부품
1 pages | 다운로드 0 | 구매평가
[`참여정부` 첫 내각 출범]정보통신부 정책방향 [새창]
[기타] 등록일: 2003/02/27 | 등록자: etnews | 판매가격: 300 원
[`참여정부` 첫 내각 출범]정보통신부 정책방향
1 pages | 다운로드 0 | 구매평가
[테마특강]MP3플레이어 [새창]
[기타] 등록일: 2003/02/03 | 등록자: etnews | 판매가격: 300 원
[테마특강]MP3플레이어
1 pages | 다운로드 0 | 구매평가
[테마특강]PDA기술동향과 전망 [새창]
[기타] 등록일: 2003/01/13 | 등록자: etnews | 판매가격: 300 원
[테마특강]PDA기술동향과 전망
1 pages | 다운로드 0 | 구매평가
[ITRC, 최고 IT개발을 꿈꾼다](33/끝)HY-SDR연구센터 [새창]
[기타] 등록일: 2002/11/20 | 등록자: etnews | 판매가격: 300 원
[ITRC, 최고 IT개발을 꿈꾼다](33/끝)HY-SDR연구센터
1 pages | 다운로드 0 | 구매평가
<21세기 아젠다 u코리아 비전>제4부(1)U도시(u-City) [새창]
[기타] 등록일: 2002/07/08 | 등록자: etnews | 판매가격: 300 원
<21세기 아젠다 u코리아 비전>제4부(1)U도시(u-City)
1 pages | 다운로드 0 | 구매평가
[e테크]3세대 이동전화단말기-디자인 `톡톡` 기술 `팡팡 골라봐` [새창]
[기타] 등록일: 2002/06/04 | 등록자: etnews | 판매가격: 300 원
[e테크]3세대 이동전화단말기-디자인 `톡톡` 기술 `팡팡 골라봐`
1 pages | 다운로드 0 | 구매평가
rindex = [1]
1

 

중간시험과제물자료바로가기 씽유 캠퍼스플러스 위로 닫기