로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
전자통신기초실험-가산기 및 혼합기 [새창] →미리보기
[공학,기술계열] 등록일: 2016/09/21 | 등록자: lbj7844 | 판매가격: 500 원
전자통신기초실험 가산기 및 혼합기에 대한 결과보고서입니다. 직접 작성하여 A+ 받은 보고서입니다.
5 pages | 다운로드 0 | 구매평가
전자통신기초실험-전압분배기 [새창] →미리보기
[공학,기술계열] 등록일: 2016/09/21 | 등록자: lbj7844 | 판매가격: 500 원
전자통신기초실험 전압분배기/중첩의 원리에 대한 결과보고서입니다. 직접 작성하여 A+ 받은 보고서입니다.
8 pages | 다운로드 1 | 구매평가
[제어공학] 상태방정식 matlab 이용풀이 [새창] →미리보기
[공학,기술계열] 등록일: 2015/07/29 | 등록자: whitenight13 | 판매가격: 500 원
y1(t)=-2y1(t)+y2(t) y1(0)=1 y2(t)= 4y1(t)-y2(t) y2(0)=1 다음과 같이 주어진 상태방정식에 대한 MATLAB을 이용하여 해를 구하고 정확한 해와(라플라스변환) 비교하시오.
3 pages | 다운로드 0 | 구매평가
자바, JFrame, JTable, 파일입출력을 사용한 달력,스케줄관리 프로그램 소스 및 ppt자료 [새창]
[공학,기술계열] 등록일: 2015/02/09 | 등록자: pororious | 판매가격: 1,500 원
달력을 확인 할 수 있고, 달력의 원하는 날짜를 선택하면 텍스트 입력이 가능한 다이얼로그가 열리고, 여기에 스케쥴을 입력하면 해당 월의 폴더가 생성되고 년월일을 파일명으로 갖는 Text파일이 생성됩니다 간단한...
20 pages | 다운로드 4 | 구매평가
로봇공학 waypoint와 로봇의 거리를 이용한 mapping [새창] →미리보기
[공학,기술계열] 등록일: 2014/06/21 | 등록자: sjhsjh1990 | 판매가격: 2,000 원
서울 K대학교 ㅈㄱㅇ 교수님 로봇공학 과제로 waypoint와 로봇의 거리를 이용한 mapping하는 방법이 있는 자료입니다.
11 pages | 다운로드 12 | 구매평가
큐를 이용한 아이스크림 가게 시뮬레이션 C언어 프로그램 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/13 | 등록자: ccmagy | 판매가격: 500 원
큐를 이용한 아이스크림 가게 시뮬레이션 프로그램입니다. 씨언어로 작성되어있으며, 레포트 안에 소스코드가 있습니다.
13 pages | 다운로드 9 | 구매평가
VHDL언어와 스파르탄보드를 이용한 디지털시계제작 [새창] →미리보기
[공학,기술계열] 등록일: 2013/01/21 | 등록자: taek3643 | 판매가격: 15,000 원
VHDL언어와 자일링스사의 스파르탄보드를 이용한 디지털시계제작 레포트입니다. 모든 소스코드는 LCD파일에 들어있습니다. A+를 맞은 자료입니다.
256 pages | 다운로드 0 | 구매평가
[유비쿼터스 첨단 건설 공학] RFID 에 관한 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2012/07/08 | 등록자: sume109 | 판매가격: 1,500 원
[유비쿼터스 첨단 건설 공학] RFID 에 관한 보고서 유비쿼터스 첨단건설공학 강의에서 RFID에 대해 조사한 보고서 입니다. 내용 좋습니다.
2 pages | 다운로드 0 | 구매평가
labview 오토세차시스템[랩뷰/오토카워시/오토세차/자동세차시스템/자동세차장] [새창]
[공학,기술계열] 등록일: 2011/11/29 | 등록자: ddangkug | 판매가격: 1,000 원
labview 오토세차시스템[랩뷰/오토카워시/오토세차/자동세차시스템/자동세차장] 과제를 통해 만들어진 자료입니다 .필요하신분 받아가세요 쉽지 않은 구조이니까 가격대는 이해해주세요^^
500 pages | 다운로드 3 | 구매평가
컴퓨터교육-수업지도안 [새창] →미리보기
[공학,기술계열] 등록일: 2011/10/03 | 등록자: smjm54321 | 판매가격: 1,000 원
대하여 익힐 수 있다. 2. 표(테이블) 만들기의 필요성을 알 수 있다. 3. 표 만들기 하는 방법에 대하여 익힐 수 있다. 도입(5분) - 수업분위기조성, 전시학습확인, 학습목표제시, 학습동기유발 전개(35분) -...
3 pages | 다운로드 0 | 구매평가
[창의적 공학설계] 종이헬기 낙하시험 [새창] →미리보기
[공학,기술계열] 등록일: 2011/09/25 | 등록자: sensekts | 판매가격: 1,000 원
과목 : 창의적 공학설계 자료종류 : PPT 주제 : 종이헬기 낙하시험 창의적 공학설계이란 과목에서 실시했던 종이헬기 낙하시험입니다. 일정한 크기의 종이로 헬리콥터의 프로펠러 형상을 만들어서 가장 오랜...
24 pages | 다운로드 4 | 구매평가
[실험레포트][마이크로프로세서] 미니프로젝트 [디지털시계] [새창]
[공학,기술계열] 등록일: 2011/05/30 | 등록자: jjong8506 | 판매가격: 1,000 원
AVR 스튜디오4 atemega128 용으로 작성한겁니다 AVR 스튜디오4 atemega128 용으로 작성한겁니다 AVR 스튜디오4 atemega128 용으로 작성한겁니다 AVR 스튜디오4 atemega128 용으로 작성한겁니다
1 pages | 다운로드 6 | 구매평가
기초전자공학실험(신호발생기와 오실로스코프, R,L,C 소자의 특성) 예비, 결과레포트 [새창] →미리보기
[공학,기술계열] 등록일: 2011/05/03 | 등록자: dolcelife522 | 판매가격: 1,500 원
신호발생기와 오실로스코프, R,L,C 소자의 특성 피스파이스 시뮬레이션+분석, 결과레포트 디스커션, 어날리시스 다 돼있는 자룝니다. A+자료구요.. 오실로스코프 파장 사진까지 다 첨부된 자료입니다~
58 pages | 다운로드 4 | 구매평가
기초전자공학실험(ohm의 법칙)예비, 결과레포트 [새창] →미리보기
[공학,기술계열] 등록일: 2011/05/03 | 등록자: dolcelife522 | 판매가격: 1,500 원
선은 "COM"단자에 꽂는다. 2. 게이지 스위치를 적절한 DCV 위치로 돌린다. 만약 대략적인 전압조차 알 수 없다면 게이지 스위치를 가장 높은 전압으로 설정하여 측정하면서 만족할만한 전압값이 읽혀질때까지 게이지...
20 pages | 다운로드 1 | 구매평가
변압기 [새창] →미리보기
[공학,기술계열] 등록일: 2011/01/17 | 등록자: wwe1273 | 판매가격: 800 원
변압기에관한 실험 목적, 실험 방법, 실험 기구, 또한 실험결과값의 그래프 해석을 한 레포트이다. 물리 레포트 점수에서 만점받은 레포트입니다.
6 pages | 다운로드 1 | 구매평가
rindex = [1]

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기