로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
[우주공학] 나로호 성공의 의의와 한국의 우주기술 현황 그리고 우주강국 건설을 위한 향후 과제 [새창] →미리보기
[공학,기술계열] 등록일: 2013/11/29 | 등록자: leewk2547 | 판매가격: 2,000 원
개발로 습득된 우리의 기술 1. 발사체 1단 국산화 선행연구 진행 2. 발사체 상단 독자개발 기술 3. 발사장 지상시스템 기술 확보 4. 국산우주기술의 총본산, 나로과학위성 5. 우주 감시 시스템도 우리 기술로, 천문...
19 pages | 다운로드 0 | 구매평가
[기계공학실험] 강제대류 교차유동열교환기 실험 [새창] →미리보기
[공학,기술계열] 등록일: 2013/11/29 | 등록자: leewk2547 | 판매가격: 2,000 원
열전달[1]은 온도차에 의하여 일어나는 에너지의 이동으로 하나의 물질에서나 두 물질 사이에서 온도차가 존재하면 반드시 열전달이 일어난다. 열전달방식의 종류[1]는 정지하고 있는 고체나 유체의 매질 내에...
154 pages | 다운로드 0 | 구매평가
[공학실험보고서] 교축 유량계를 이용한 유량 측정 [새창] →미리보기
[공학,기술계열] 등록일: 2013/11/29 | 등록자: leewk2547 | 판매가격: 2,000 원
유체 유동의 유량을 측정하는 방법에는 여러 가지가 있다. 유동 관로 내부에 유동 방해물을 설치하고 이 방해물 전후방에서 나타나는 압력차를 측정하고 이를 이용하여 유량을 얻어내는 교축유량계(obstruction...
13 pages | 다운로드 1 | 구매평가
현대의서양문화4B)본교재5장서구와비서구세계부분참고문헌중발전과저발전선진국과개발도상국의미기술0k [새창] →미리보기
[공학,기술계열] 등록일: 2013/09/28 | 등록자: konggong12s | 판매가격: 4,000 원
단락 이상을 반드시 용할 것. 그 용문들에 대한 자신의 평가를 기술할 것.) 좀 더 업그레이드하여 자료를 보완하여, 과제물을 꼼꼼하게 정성을 들어 작성했습니다. 제 자료가 구입자분에게 꼭 필요한 내용이...
8 pages | 다운로드 6 | 구매평가
[전자회로실험] 1. 접합 다이오드, 2. 다이오드 리미터와 클램퍼 [새창] →미리보기
[공학,기술계열] 등록일: 2013/09/25 | 등록자: leewk2547 | 판매가격: 2,000 원
1) 접합 다이오드 (1) 실험 1. 다이오드의 극성 (2) 실험 2. 바이어스 전압 가 (3) 실험 3. 전압-전류 특성 2) 다이오드 리미터와 클램퍼 (1) 실험 1. 다이오드 리미터 (2) 실험 2. 다이오드...
16 pages | 다운로드 2 | 구매평가
[전자회로] A급 증폭기 회로 설계, 시뮬레이션 값 이론값 실험값 비교 [새창] →미리보기
[공학,기술계열] 등록일: 2013/09/25 | 등록자: leewk2547 | 판매가격: 2,000 원
●목적 - A급 증폭기의 이해 - 이론값을 이해 한 후, 실제 실험 이해 - 이론값, 시뮬레이션, 실제 실험과의 비교 ●A급 증폭기 - 소신호 증폭기에서 교류 신호는 전체 교류 부하선의 일부분에서만...
11 pages | 다운로드 3 | 구매평가
경영전략론4공통)본재직중이거나관심있는기업선정한후해당기업의본원적경쟁전략평가0k [새창]
[공학,기술계열] 등록일: 2013/09/18 | 등록자: konggong12s | 판매가격: 6,000 원
이 재직 또는 운영중이거나 관심기업(또는사업체)선정하여, 교재5장내용의바탕으로 해당기업이 원가우위 차별화 집중화전략 분석전략 성공전략 제시하시오0k 컴퓨터 경영전략론4공통 본이 재직 또는 운영...
10 pages | 다운로드 3 | 구매평가
[스마트워크] 스마트워크의 사례, 기대효과 및 활성화전략 [새창] →미리보기
[공학,기술계열] 등록일: 2013/08/02 | 등록자: report777 | 판매가격: 2,000 원
1. 스마트워크란? 이동통신기술의 발달과 스마트기기 이용의 확산은 업무 환경에 큰 변화를 가져왔다. 고성능 연산장치와 기억장치가 내장된 스마트폰, 태블릿을 활용함으로써, 과거 사무실 안, 원격근무지 안...
17 pages | 다운로드 1 | 구매평가
토목 - 일축 압축 실험 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
이겨 성형한 점성토의 1축 압축강도의 비, 즉 예민비를 구할 수 있다. 1축 압축시험 방법에는 변형제어형 (strain control type)과 응력제어형 (stress control type)의 두 가지가 있으나, 변형제어형이 많이 쓰이고...
7 pages | 다운로드 1 | 구매평가
전자회로실험 - 공통이미터 증폭기와 이미터 폴로어의 조합 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
조합한 증폭기의 동작특성을 알아보는 것에 있다. 즉 컬렉터로부터 얻는 출력신호에 관한 한 전압이득이 1이면서 입력신호와의 위상차가 180° 공통이미터 증폭기의 특성과 이미터 폴로어로부터 얻은 출력신호와...
7 pages | 다운로드 0 | 구매평가
[디지털 회로설계] Moore , Mealy Type Finite State Machine [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
개요 : Moore Type과 Mealy type의 state diagram을 보고 각각의 state table과 D F/F을 이용한 회로도를 작성한 후 두 가지 모두에 대하여 각각 VHDL code를 작성하여 시뮬레이션을 수행하여 미리 예상한 결과와...
9 pages | 다운로드 0 | 구매평가
[디지털 회로 설계] 4-Bit D Flip Flop 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
1. 제목 : 4-Bit D Flip Flop 설계 2. 개요 : 1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gate의...
8 pages | 다운로드 0 | 구매평가
컴퓨터 구조학 - 병렬 처리 컴퓨터 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
1-1 파이프라 처리기(Pipeline Processor) 1-2 배열 처리기(Array Processor) 1-3 다중 처리기(Multiple Processor) 1-4 데이터 흐름 컴퓨터(Data Flow Machine) 1-5 VLSI 처리기 (VLSI Processor) 2. 컴퓨터...
28 pages | 다운로드 2 | 구매평가
장시험 실험보고서 A+ [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/29 | 등록자: ohs9635 | 판매가격: 1,500 원
보고서 입니다. 물론 다른 용도로 이용하실 때에는 충분한 보충을 하여 제출 하기 바랍니다. 장시험의 전반적 실험 원리부터 다루기 시작해서 서론 본론 결론으로 끝나는 과정이며 결과값은 그래프로 나타내고...
15 pages | 다운로드 11 | 구매평가
컴퓨터 - c++이용한 볼링소스 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/15 | 등록자: leewk2547 | 판매가격: 2,000 원
폼 라 생성은 1개밖에 하지 못했습니다. 게임인원 입력시 1로 해야 실행이 됩니다. */ int A_score=0, result=0;// 전역변수로 A_score는 main에서 결과값을 출력하기 위한 변수 //result는 Bowling_Game()에서...
6 pages | 다운로드 1 | 구매평가
rindex = [1]

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기