로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
2. 유니티(Unity) 프로젝트구성 [새창] →미리보기
[공학,기술계열] 등록일: 2021/12/10 | 등록자: starred24 | 판매가격: 1,900 원
"2. 유니티(Unity)_프로젝트구성"에 대한 내용입니다. 이론 학습과 실습을 통해 유니티에 대해서 이해할 수 있습니다.
5 pages | 다운로드 0 | 구매평가
#메이플스토리 경매장 #주흔 낚시꾼들꺼 사재기.zip #주흔낚시꾼 낚기 #9999개 600만 이득 [새창]
[공학,기술계열] 등록일: 2020/04/13 | 등록자: ddangkug | 판매가격: 5,000 원
#메이플스토리 경매장 #주흔 낚시꾼들꺼 사재기.zip #주흔낚시꾼 낚기 #9999개 600만 이득 필요물품을 알집에 구성과 모르는부분있으면 메일 보내주세요
1 pages | 다운로드 2 | 구매평가
고분자재료+PPT자료+[A+레포트]+인공심장+발표자료 [새창] →미리보기
[공학,기술계열] 등록일: 2020/03/25 | 등록자: ddangkug | 판매가격: 2,600 원
고분자재료+PPT자료+[A+레포트]+인공심장+발표자료 *자료보기 *자료다운로드 *자료상세보기 *무료충전하고 다운로드 가능
6 pages | 다운로드 0 | 구매평가
화공기초실습_액체의 점성도 측정 결과레포트 [새창] →미리보기
[공학,기술계열] 등록일: 2018/07/11 | 등록자: dlgmltn199 | 판매가격: 1,000 원
A+ 받은 자료입니다. 실험 목적 - 액체의 점도를 oswald 점도계를 이용하여 측정하고, 일반적인 점도의 의미 및 이론에 대해 학습한다.
8 pages | 다운로드 0 | 구매평가
공압제어 실습강의4(압축공기의 생산과 저장) [새창] →미리보기
[공학,기술계열] 등록일: 2016/02/17 | 등록자: hb2577 | 판매가격: 1,500 원
본 자료는 공업전문대학교 공학부의 메카트로닉스과 공압제어실습 강의4에 이용되는 자료로서 압축공기의 생산과 저장에 대해 상세하게 설명하였습니다.
22 pages | 다운로드 1 | 구매평가
교류회로의 임피던스 벡터표현 [새창] →미리보기
[공학,기술계열] 등록일: 2016/01/18 | 등록자: hb2577 | 판매가격: 2,000 원
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력계통실습 과목 강의에 이용되는 자료로서 교류회로의 임피던스 벡터표현에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임.
6 pages | 다운로드 0 | 구매평가
디지털발표 디지털 논리회로 및 실습Term Project.ppt [새창] →미리보기
[공학,기술계열] 등록일: 2014/05/25 | 등록자: yellow555 | 판매가격: 1,500 원
소개글 디지털발표자료 디지털 논리회로 및 실습Term Project.ppt 목차 1. 주제선정동기 2. 주제소개 3. 진리표 4. 하드웨어 5. 진행계획 6. Q&A 참고 자료 디지털 논리회로 실험 (120개의 기본 실험과 12개의...
10 pages | 다운로드 3 | 구매평가
[디지털 논리 설계 이론] 종이 헬리콥터 제작 (인천대학교 전자공학과) [새창] →미리보기
[공학,기술계열] 등록일: 2014/05/01 | 등록자: pureask | 판매가격: 2,000 원
: 디지털 논리 설계 이론 프로젝트명 : 종이 헬리콥터 실행계획서 담당교수 : 인천대학교 김교선 교수님 디지털 논리 설계 이론 수업시간에 학습한 6 시그마 기법을 이용하여 종이 헬리콥터 실행계획서를 제작
54 pages | 다운로드 2 | 구매평가
유체역학 - 소형개수로 실험 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 3,000 원
1-1. 실험의 목적 ㄱ. 반복적인 실험 1). 반복적인 실험을 통하여 실험과정을 숙달한다. ㄱ). 측정의 반복 (1). 측정을 반복하여 측정방법을 숙달한다. (2). 전 실험에서 실험 과정에...
45 pages | 다운로드 2 | 구매평가
TRIZ[트리즈]의 이론과 발상기법 [새창] →미리보기
[공학,기술계열] 등록일: 2013/11/22 | 등록자: leewk2547 | 판매가격: 2,000 원
Ⅰ. 서론 Ⅱ. TRIZ 이론 1. TRIZ의 탄생 배경과 작용원리 2. TRIZ의 기본개념 Ⅲ. TRIZ의 발상기법 2. 물질-장 분석 3. ARIZ Ⅳ. 결론 Ⅴ. 참고문헌
14 pages | 다운로드 1 | 구매평가
C언어를 이용한 주차실험(창의적공학설계) [새창] →미리보기
[공학,기술계열] 등록일: 2013/05/06 | 등록자: guddyd789 | 판매가격: 1,000 원
C언어를 이용한 자동차를 만들고 그에 관해서 쓴 레포트입니다. 특히, 동국대학생들에게 유용하다고 보입니다. 하지만, 수정과정을 통해 타 대학교에서도 쓰실 수 있도록 만들었습니다.^^*
42 pages | 다운로드 1 | 구매평가
지능 시스템 설계 - 지능형 숫자 인식 시스템 [새창] →미리보기
[공학,기술계열] 등록일: 2013/03/28 | 등록자: leewk2547 | 판매가격: 2,000 원
구성원 (2) 진행 계획 (3) 설계 환경 4. 설계 이론 (1) 이진화 (2) 골격화(세선화) (3) 클러스터링 (4) 패턴인식에서 퍼지이론에 비해 신경망이 가지는 장점 5. 지능형 문자 인식, 분류 시스템 상...
21 pages | 다운로드 0 | 구매평가
[컴퓨터] 그래픽스에 대해서 [새창] →미리보기
[공학,기술계열] 등록일: 2012/06/13 | 등록자: leewk2547 | 판매가격: 2,200 원
Reality) 1-5 - 미술 1-6 - 애니메이션 및 게임 1-7 - 교육 및 훈련( 컴퓨터 보조학습 : Computer Aided Instruction) 1-8 - 과학 분야의 가시화 ( 사이비즈 : SCI VIS - Scientific Visualization) 1-9 - 그래픽...
11 pages | 다운로드 0 | 구매평가
[CADCAM실험]Roport_좌표변환프로그래밍[상콤하게] [새창] →미리보기
[공학,기술계열] 등록일: 2012/03/01 | 등록자: ddangkug | 판매가격: 2,000 원
래밍 탐구목표 네점의 좌표점을 점(p1,p2)를 중심으로 일정방향 회전했을때 좌표점을 나타냄. 핵심과제 프로그래밍 할수있는 소프트웨어를 이용하여 문제를 해결합니다. 학습도구 DEV-C++
10 pages | 다운로드 1 | 구매평가
[기계공학] 기초전기전자 기본 계측기사용법 [새창] →미리보기
[공학,기술계열] 등록일: 2010/09/25 | 등록자: countryboy12 | 판매가격: 1,500 원
실험목적, 기본이론 실험내용 실험방법 순서 고찰 ~!
8 pages | 다운로드 2 | 구매평가
rindex = [1]

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기