로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
인터넷에 의한 프로젝트 수업 - 독일 지역학 수업을 중심으로 [새창]
[공학,기술계열] 등록일: 2007/05/50 | 등록자: CPIA_kimmin | 판매가격: 3,500 원
상호작용학습 인터넷프로젝트수업 프로젝트수업 독일 지역학 수업 / (독일어 수업)
19 pages | 다운로드 0 | 구매평가
[컴퓨터공학] [C++] 스포츠 센터 관리 프로그램 소스 [새창] →미리보기
[공학,기술계열] 등록일: 2008/06/08 | 등록자: deadfile | 판매가격: 1,000 원
스포츠센터 관리 프로그램 소스입니다.
30 pages | 다운로드 4 | 구매평가
(2022년 방송통신대 Visual C++프로그래밍 출석수업대체과제물)교재 1 장의 1.5절 버튼 사용하기 API 프로그램에서 다음의 조건을 만족하도록 프로그.. [새창] →미리보기
[공학,기술계열] 등록일: 2022/04/13 | 등록자: sunnyfanta | 판매가격: 9,000 원
과제물의 문제에 적합한 형식과 내용으로 정성을 다해 작성했습니다. 여러 참고자료를 바탕으로 주요내용을 최대한 이해하기 쉽고 알차게 정리했습니다. 리포트를 효율적으로 작성하시는 데 작은 도움이라도...
10 pages | 다운로드 0 | 구매평가
#메이플스토리 경매장 #주흔 낚시꾼들꺼 사재기.zip #주흔낚시꾼 낚기 #9999개 600만 이득 [새창]
[공학,기술계열] 등록일: 2020/04/13 | 등록자: ddangkug | 판매가격: 5,000 원
#메이플스토리 경매장 #주흔 낚시꾼들꺼 사재기.zip #주흔낚시꾼 낚기 #9999개 600만 이득 필요물품을 알집에 구성과 모르는부분있으면 메일 보내주세요
1 pages | 다운로드 2 | 구매평가
자료구조 [새창]
[공학,기술계열] 등록일: 2018/12/09 | 등록자: szero0707 | 판매가격: 50,000 원
2017년의 자료구조시간에,영*대학교 인가? *남대학교에서, 과제를 풀고 제출햇던 자료와 코드를 모두 압축해놓은 자료입니다.
10 pages | 다운로드 8 | 구매평가
인천대학교 전자회로 보고서(HW5) [새창] →미리보기
[공학,기술계열] 등록일: 2014/06/04 | 등록자: pureask | 판매가격: 2,000 원
인천대학교 전자회로 수업, 프로젝트 자료입니다. 문제는 다음과 같습니다. Q) 220V의교류전원으로부터5V의DC Power를공급할수있는회로(두가지)를설계하고PSPICE를사용하여성능을검증하시오. ► Bridge...
22 pages | 다운로드 1 | 구매평가
[디지털 논리 설계 이론] 종이 헬리콥터 제작 (인천대학교 전자공학과) [새창] →미리보기
[공학,기술계열] 등록일: 2014/05/01 | 등록자: pureask | 판매가격: 2,000 원
: 디지털 논리 설계 이론 프로젝트명 : 종이 헬리콥터 실행계획서 담당교수 : 인천대학교 김교선 교수님 디지털 논리 설계 이론 수업시간에 학습한 6 시그마 기법을 이용하여 종이 헬리콥터 실행계획서를 제작
54 pages | 다운로드 2 | 구매평가
디지털 논리 설계 [디지털 시계 제작] (인천대학교 전자공학과) [새창]
[공학,기술계열] 등록일: 2014/05/01 | 등록자: pureask | 판매가격: 2,000 원
졸업생입니다. "디지털 논리 설계" 레포트를 올립니다. 프로젝트명: 디지털시계 보고서 디지털 논리 설계 수업시간에 학습한 내용을 바탕으로 디지털시계 보고서 제작함 레포트 A+ 받은 자료입니다. 참고하시기...
65 pages | 다운로드 4 | 구매평가
Term_Project-볼스크류전동장치 [새창] →미리보기
[공학,기술계열] 등록일: 2013/06/27 | 등록자: keiosh | 판매가격: 900 원
A+받은 cad 수업프로젝트 ppt파일입니다. 제품은 볼스크류-리니어부시 전동장치이구요 부품선정및 설계과정과 3d모델링 그림(inventor사용), 전체 조립품 그림과 2d 부품도면 및 조립도면이 나와있습니다....
19 pages | 다운로드 2 | 구매평가
[디지털시스템설계] VDHL을 이용한 Dot-matrix활용 [새창] →미리보기
[공학,기술계열] 등록일: 2013/05/08 | 등록자: leewk2547 | 판매가격: 2,000 원
수업시간에 vdhl을 이용하여 여러 가지 많은 실험들을 했었다, 그 중에서도 도트매트릭스 실험을 했을때가 가장 기억에 남아서, 이번 프로젝트 때 도트매트릭스를 이용하여 내 이름 3 글자를 나타내기로 하였다....
14 pages | 다운로드 0 | 구매평가
파일구조론 팀프로젝트 [새창] →미리보기
[공학,기술계열] 등록일: 2012/08/14 | 등록자: dong1989 | 판매가격: 4,500 원
파일구조론 수업에 관한 팀프로젝트과제입니다. 전기사용량 관리시스템에 관한 프로젝트입니다. 전기관리프로그램 입니다.
12 pages | 다운로드 0 | 구매평가
[컴퓨터공학과] [자바프로젝트]주차장 관리 프로그램 [새창] →미리보기
[공학,기술계열] 등록일: 2010/07/01 | 등록자: kimsangik | 판매가격: 4,900 원
주차장관리프로그램입니다. 수업시간 했던 프로젝트인데 A+맞은 과제입니다. 당시에 사용했던 발표자료 및 다큐먼트 첨부합니다. 자바를 약간만 사용하실줄 알면 피씨방이나 당구장 혹은 그 이외의 시간제로 요금...
30 pages | 다운로드 8 | 구매평가
[건축학, 건축가] 르꼬르뷔제에 대하여.... [새창] →미리보기
[공학,기술계열] 등록일: 2010/05/30 | 등록자: jinil3095 | 판매가격: 2,000 원
- 르꼬르뷔제 작품과 프로젝트, 출판사 [MGH Architecture Books], 2001 - 서양 근대 건축사, 윤장섭 지음, 출판사 [기문당], 2004 - 서양 근대 건축사, 이강업 진경돈 지음, 출판사 [도서출판 서우], 2001 - 르...
36 pages | 다운로드 1 | 구매평가
[컴퓨터공학] [자바]자료구조를 이용한 콘솔 계산기 소스 [새창] →미리보기
[공학,기술계열] 등록일: 2008/06/08 | 등록자: deadfile | 판매가격: 3,000 원
자바로 구현한 자료구조를 이용한 콘솔 계산기 소스입니다.
100 pages | 다운로드 4 | 구매평가
[공과기술] 교육방법 및 교육공학 / 교육방법 및 교육공학~교수 학습의 방법~강의 법,토의 법 [새창] →미리보기
[공학,기술계열] 등록일: 2008/02/05 | 등록자: image | 판매가격: 1,000 원
교육방법 및 교육공학~교수-학습의 방법~강의법,토의법,문제해결학습,프로젝...
43 pages | 다운로드 1 | 구매평가
rindex = [1]
12

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기