로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
전자기학2 설계 - EEE3543 Electricity and Magnetism II [새창] →미리보기
[공학,기술계열] 등록일: 2014/06/06 | 등록자: leewk2547 | 판매가격: 2,000 원
Abstract 디자인 프로젝트에서는 (1)다른 유전률을 가진 물질들을 코팅함으로써 quarter wave transformer의 역할을 할 수 있도록 디자인하고 (2)이러한 디자인의 요소가 주파수의 변화에 따라서 VSWR의 변화를...
4 pages | 다운로드 0 | 구매평가
[VHDL] Digital stop watch[디지털 스톱 워치] 설계 소스 - 키트와 쿼터스를 이용 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 2,000 원
더욱 선호되는 편이다. 이번 프로젝트 설계를 통해 학기 동안 배웠던 VHDL 문법을 복습하고, 나아가 더 복잡한 구조를 해석하고 설계할 수 있는 능력을 기를 수 있으며 키트의 세그먼트 활용과 클락 신호를 다루는...
11 pages | 다운로드 5 | 구매평가
C++, Win API 게임 소스코드 (물고기 피하기 게임, 벽돌 밟기 게임, 생존게임) [새창] →미리보기
[공학,기술계열] 등록일: 2014/01/26 | 등록자: havenlys | 판매가격: 2,200 원
초를 실시간으로 갱신하며 사용자에게 보여준다. 프로젝트 소요 기간 - 너구리 모션 추가 [ 1일 ] - 게임 흐름도 구현 및 인터페이스 구축 [ 1일 ] - 종류별 받침대 추가 [ 4~5일 ] - 각각 받침대 옵션 부여 [ 5...
1 pages | 다운로드 3 | 구매평가
Java 게임소스코드 (게임. 체스게임. Kight 체스게임. 2인용게임. Java awt 기반 객체지향 게임) [새창]
[공학,기술계열] 등록일: 2014/01/26 | 등록자: havenlys | 판매가격: 2,200 원
다운로드 받은 소스코드 프로젝트를 복사(이동)시킴. 2. Eclipse 실행 (Eclipse Standard 4.3.1 에서 테스트됨) 3. 상단 메뉴 File-New-Java Project 4. Project name 에 1번에서 추가했던 폴더 이름을 입력함. 5....
1 pages | 다운로드 4 | 구매평가
[소프트웨어공학론] 소프트웨어 공학 [새창] →미리보기
[공학,기술계열] 등록일: 2013/03/05 | 등록자: leewk2547 | 판매가격: 2,000 원
요 1.1 프로젝트 개요 1.2 프로젝트의 산출물 1.3 프로젝트 약어 2. 자원 및 일정 예측 2.1 자원 가. 인력 나. 비용 2.2 일정 3. 조직 구성 및 인력 배치 3.1 조직 구성 3.2 직무 기술 4. WBS 5....
7 pages | 다운로드 1 | 구매평가
자바 스윙으로 만든 계산기입니다. [새창]
[공학,기술계열] 등록일: 2012/11/19 | 등록자: ksw7658 | 판매가격: 2,000 원
자바 스윙으로 만든 계산기입니다. 윈도우7에 포함된 일반계산기와 UI가 같습니다. exe실행 파일과 소스파일 포함입니다.(이클립스 프로젝트) JDK1.7 환경에서 만들었습니다. 컴파일 환경 : JDK1.7 툴 :...
3 pages | 다운로드 6 | 구매평가
[데이터베이스 관리] 탑클래스 DB 구축 사례 [새창] →미리보기
[공학,기술계열] 등록일: 2012/08/10 | 등록자: leewk2547 | 판매가격: 1,600 원
I. 프로젝트 개요 1. 업체 선정 배경· 2. 프로젝트 목표· II. 요구사항 분석 1. 고객 요구사항· 2.데이터요구사항· 3. DB에 대한 주요 트랜잭션 III. 데이터베이스 설계 1. 개념적...
18 pages | 다운로드 3 | 구매평가
소프트웨어 개발 계획서 - 학생 성적관리 프로그램 [새창] →미리보기
[공학,기술계열] 등록일: 2012/06/14 | 등록자: leewk2547 | 판매가격: 2,000 원
프로젝트 개요 프로젝트 산출물 정의 및 약어 인력 및 비용 일정 조직 구성 직무 기술 기술 관리 방법 개발 방법론 검토회 일정 검토회 진행 방법 개발 환경 성능 시험 및 유지보수 설치, 인수 및 참고문헌
19 pages | 다운로드 4 | 구매평가
UML 조사 레포트 [새창] →미리보기
[공학,기술계열] 등록일: 2012/04/23 | 등록자: leewk2547 | 판매가격: 1,800 원
추상화 방법과 산출물들을 프로젝트 참여자들이 쉽게 이해할 수 있도록 소프트웨어 개발 방법론(표현 및 기법)들이 통합된 객체지향개발 표준통합 모델링 언어이다¹. UML은 모델링 언어일 뿐 메쏘드(또는 방법론)는...
8 pages | 다운로드 1 | 구매평가
디지털 신호처리 [새창] →미리보기
[공학,기술계열] 등록일: 2012/04/09 | 등록자: leewk2547 | 판매가격: 1,800 원
이번 프로젝트는 입력이 non-causal unit step function 일 때, 출력이 causal하고 stable한 IIR 시스템을 설계하는 것이다. 또 한 이 시스템의 임펄스함수와 pole-zero plot의 위치를 분석하고 time-domain과...
7 pages | 다운로드 1 | 구매평가
C+ 포커게임프로그램 소스파일 [새창] →미리보기
[공학,기술계열] 등록일: 2012/04/06 | 등록자: leewk2547 | 판매가격: 1,500 원
파일명 : main.cpp 프로그램 : poker 프로젝트의 main함수 파일 내용 : 포커게임 시뮬레이션(가상실험) 프로젝트 작성자 : 손민균 200658117 작성일 : 2010. 11. 18. */ #include "poker.h" int main(void)
5 pages | 다운로드 2 | 구매평가
카티아 V5 R18 모델링 파일 [새창]
[공학,기술계열] 등록일: 2011/12/18 | 등록자: kknd4 | 판매가격: 3,000 원
카티아 V5 R18버젼으로 만든 볼트공급기입니다 텀프로젝트로 3일간 죽어라 만들었습니다 서브어셈 많습니다거의 모든 부품을 했다고 보시면 되고요 퀄리티 좋습니다 후회하지 않을 자료 입니다
41 pages | 다운로드 4 | 구매평가
보잉 747 카티아 모델링 파일 CATIA [새창]
[공학,기술계열] 등록일: 2011/12/18 | 등록자: kknd4 | 판매가격: 3,000 원
카티아 V5 R18버젼으로 만든 보잉 747 모델링 파일입니다 텀프로젝트로 2일간 죽어라 만들었고욧 스케치트레이서 방법을 사용하여 만들었습니다 레포트로 충분히 가치가 있다고 봅니다~
41 pages | 다운로드 26 | 구매평가
CATIA V5 R18 HIROBO RC HELICOPTER 모델링!! [새창]
[공학,기술계열] 등록일: 2011/12/18 | 등록자: kknd4 | 판매가격: 3,000 원
카티아 V5 R18버젼으로 만든 히로보 RC 헬리콥터입니다 커버 제외하고는 모두어셈블리 되어있습니다 텀프로젝트로 3일동안 죽어라 만들었습니다 퀄리티 좋구요 받아도 좋습니다 모델링 PPT도있습니다!!!
41 pages | 다운로드 16 | 구매평가
4학년-졸업작품(캡스톤디자인)/택시범죄예방시스템 [새창] →미리보기
[공학,기술계열] 등록일: 2011/10/03 | 등록자: smjm54321 | 판매가격: 10,000 원
택시범죄예방시스템 택시안전보안 시스템 개발 개요 시스템 목표 구현방법 현재 프로그램 진행 상황 예상결과 프로젝트 진행도 및 팀원 역할 ..............................이어서
30 pages | 다운로드 1 | 구매평가
rindex = [1]

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기