로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
건축학 - 친환경 건축 사례조사(ecc) [새창] →미리보기
[공학,기술계열] 등록일: 2014/08/08 | 등록자: leewk2547 | 판매가격: 2,000 원
1953년 클레르몽-페랑 태생. 현재 파리에서 거주하며 활동하고 있다. 1978년 파리에서 건축 학위를 받았으며, 1979년 국립 토목대학에서 도시계획을 수료했다. 또한 사회과학고등연구원에서 역사학을 전공 1980년...
14 pages | 다운로드 0 | 구매평가
프로그래밍 실습 - 프로그램이 가능한 전자키보드 구현 [새창] →미리보기
[공학,기술계열] 등록일: 2014/06/06 | 등록자: leewk2547 | 판매가격: 2,000 원
프로젝트를 함으로써 전체적인 틀을 잡는게 제일 힘들었다. 우선 프로젝트 참고 자료에 나와 있는 계이름을 0부터 8까지 정해주고, 음표길이(ms)로 주파수로 정하여 음길이를 설정하였다. title함수로 하여...
16 pages | 다운로드 0 | 구매평가
인천대학교 전자회로 보고서(HW5) [새창] →미리보기
[공학,기술계열] 등록일: 2014/06/04 | 등록자: pureask | 판매가격: 2,000 원
인천대학교 전자회로 수업, 프로젝트 자료입니다. 문제는 다음과 같습니다. Q) 220V의교류전원으로부터5V의DC Power를공급할수있는회로(두가지)를설계하고PSPICE를사용하여성능을검증하시오. ► Bridge...
22 pages | 다운로드 1 | 구매평가
인천대학교 디지털통신설계 BPSK 신호변복조 [새창] →미리보기
[공학,기술계열] 등록일: 2014/05/10 | 등록자: pureask | 판매가격: 3,000 원
설계 프로젝트 결과 보고서입니다. 시뮬레이션 설계, 회로 설계, 결과분석 그리고 결과분석및 고찰의 순서로 이루어져 있습니다. 자료에 대한 자세한 설명은 http://blog.naver.com/haffywind/80212684112 을 통해...
48 pages | 다운로드 1 | 구매평가
[디지털 논리 설계 이론] 종이 헬리콥터 제작 (인천대학교 전자공학과) [새창] →미리보기
[공학,기술계열] 등록일: 2014/05/01 | 등록자: pureask | 판매가격: 2,000 원
: 디지털 논리 설계 이론 프로젝트명 : 종이 헬리콥터 실행계획서 담당교수 : 인천대학교 김교선 교수님 디지털 논리 설계 이론 수업시간에 학습한 6 시그마 기법을 이용하여 종이 헬리콥터 실행계획서를 제작
54 pages | 다운로드 2 | 구매평가
디지털 논리 설계 [디지털 시계 제작] (인천대학교 전자공학과) [새창]
[공학,기술계열] 등록일: 2014/05/01 | 등록자: pureask | 판매가격: 2,000 원
졸업생입니다. "디지털 논리 설계" 레포트를 올립니다. 프로젝트명: 디지털시계 보고서 디지털 논리 설계 수업시간에 학습한 내용을 바탕으로 디지털시계 보고서 제작함 레포트 A+ 받은 자료입니다. 참고하시기...
65 pages | 다운로드 4 | 구매평가
로봇공학 - Kuka Robotics에 관해서 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 1,500 원
- Everything that moves in automation comes from KUKA Robot Group 자동차 산업이 아닌 일반 산업 부문에서는 특히 물류, 플라스틱, 금속 가공, 주조, 의학 기술 또는 엔터테인먼트 분야의 새로운 시장에...
6 pages | 다운로드 1 | 구매평가
System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간설정 + 알람설정 구현 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 3,000 원
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity easy_clock is port ( clk_in: in std_logic; resetn: in std_logic;
47 pages | 다운로드 0 | 구매평가
안테나 공학 - SETI에 관해 [새창] →미리보기
[공학,기술계열] 등록일: 2013/12/11 | 등록자: leewk2547 | 판매가격: 2,000 원
Intelligence의 축약어로 의미 그대로 외계 지적 생명체 탐사라는 의미입니다. 사실 저는 고등학교 시절 과학 선생님으로부터 전해듣고 SETI@HOME 프로젝트에 개인 지원자로서 참가했었습니다. 아래는 제가 조사한...
3 pages | 다운로드 0 | 구매평가
디지털 논리 설계 - Altera Max+plus II 스탑워치 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/12/11 | 등록자: leewk2547 | 판매가격: 2,000 원
강의시간에 배운 이론을 바탕으로 실제 상품화 될 수 있는 하드웨어를 Altera Max+plus II 프로그램을 사용하여 설계한 팀 프로젝트 결과입니다.`
14 pages | 다운로드 1 | 구매평가
황성 종합 경기장 시공 답사 보고서 (대규모 시공현장을 통한 최첨단공사의 진행과정과 그 속에서 CM의 역할 되짚어 보기) [새창] →미리보기
[공학,기술계열] 등록일: 2013/10/30 | 등록자: amigo48j | 판매가격: 1,000 원
황성 종합 경기장 시공 답사 보고서 (대규모 시공현장을 통한 최첨단공사의 진행과정과 그 속에서 CM의 역할 되짚어 보기) CM의 역할과 중요성을 들여다보기위해 화성종합 경기장 시공답사 후 제출한 보고서 입니다.
5 pages | 다운로드 0 | 구매평가
[자동차 재료] 캘리퍼 하우징의 경량화 방안 [새창] →미리보기
[공학,기술계열] 등록일: 2013/09/25 | 등록자: leewk2547 | 판매가격: 2,000 원
승용 자동차에 사용되는 브레이크는 차량의 속도를 조절하며, 타이어, 조향장치와 함께 자동차의 안전에 직결되는 부품이다. 이러한 브레이크는 어떠한 도로 상태에서도 안정적으로 작동을 하여야 하며, 파손이...
11 pages | 다운로드 0 | 구매평가
[전산수치해석] LRC회로를 수치해석으로 구현 [새창] →미리보기
[공학,기술계열] 등록일: 2013/09/06 | 등록자: leewk2547 | 판매가격: 2,000 원
이번 프로젝트에서 L-R-C회로를 모델링하여 미분적분방정식을 세우고, 전류 i(t)값을 구하기 위해, 수치해석 기법으로 방정식을 해결해 보려고 하였다. 방정식에 미분방정식과 적분방정식 항이 함께 있어, 방정식을...
14 pages | 다운로드 1 | 구매평가
[디지털 논리 회로] 디지털 공중전화 [새창] →미리보기
[공학,기술계열] 등록일: 2013/09/06 | 등록자: leewk2547 | 판매가격: 2,000 원
1. Project 목적 ⧠ 디지털 논리회로 설계 및 실습 과목의 term project 구현 ⧠ 칩의 기능과 논리구조의 이해 ⧠ 지금까지 학습한 내용들을 복합하여 하나의 작품에 응용 및 구현
16 pages | 다운로드 3 | 구매평가
[건설사업관리] 건설사업관리(CM)의 정의, 효과 및 개선방안 [새창] →미리보기
[공학,기술계열] 등록일: 2013/08/26 | 등록자: report777 | 판매가격: 2,000 원
발주자와 설계자와 협력하여 프로젝트를 소기의 스케줄, 코스트, 품질을 완성시키기 위한 전문직으로서의 기술을 제공하는 매니지먼트 또는 엔지니어링 서비스이다. CM은 견적, 계약관리, 장비관리, 공정관리, 원가...
16 pages | 다운로드 4 | 구매평가
rindex = [1]

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기