로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
전자회로_각 파형 함수 발생기 [새창] →미리보기
[공학,기술계열] 등록일: 2017/05/30 | 등록자: secretnote89 | 판매가격: 2,000 원
함수발생기 ppt 1) OP AMP를 이용하여 정현파, 구형파, 삼각파, 펄스파를 발생시키는 함수발생기의 동작원리를 이해하고 설계한다. 2) 정현파, 구형파, 삼각파, 펄스파에 대한 gain과 frequency 조절을 가능하도록...
11 pages | 다운로드 0 | 구매평가
[JAVA] 운영체제 메모리할당 프로그램(GUI , FIFO 최초적합기법) [새창]
[공학,기술계열] 등록일: 2016/12/20 | 등록자: z4701z | 판매가격: 1,000 원
사용중인 메모리 크기 그리고 현제 메모리 어디위치에 프로그램이 적재되어있는지 쓰레드를 돌려서 실시간으로 알려줍니다. -프로젝트 파일와 임포트 못하시는분들이 많아서 소스코드 자체를 메모장에 옮긴...
1 pages | 다운로드 0 | 구매평가
전기기기 - 초전도의 개발동향, 절연 개발동향, 배전반 개발동향, 신전력기기 개발동향 [새창] →미리보기
[공학,기술계열] 등록일: 2016/02/13 | 등록자: leewk2547 | 판매가격: 2,000 원
가. 초전도의 개발동향 나. 절연 개발동향 다. 배전반 개발동향 라. 신전력기기 개발동향 마. 과제를 마치며. 가. 초전도의 개발동향
19 pages | 다운로드 0 | 구매평가
DO-178 인증 지침서 번역 개정본(3/4) [새창] →미리보기
[공학,기술계열] 등록일: 2015/12/23 | 등록자: aerospace | 판매가격: 10,000 원
2010년 초판을 만들어 5년만에 개정본이 나왔습니다 관련자 분들에게 공유하고자 자료를 올립니다. 수익금으로 제대로된 책자로 만들어 배포할 예정입니다.
62 pages | 다운로드 3 | 구매평가
DO-178 인증 지침서 번역 개정본(1/4) [새창] →미리보기
[공학,기술계열] 등록일: 2015/12/23 | 등록자: aerospace | 판매가격: 3,000 원
2010년 초판을 만들어 5년만에 개정본이 나왔습니다 관련자 분들에게 공유하고자 자료를 올립니다. 수익금 모아지면 제대로된 책자로 만들어 배포할 예정입니다.
48 pages | 다운로드 3 | 구매평가
The Museum of Modern Art(Yoshio Taniguchi) [새창] →미리보기
[공학,기술계열] 등록일: 2015/11/29 | 등록자: hyung88801 | 판매가격: 12,900 원
설계된 증축형 미술관 프로젝트이다. ppt는 총 28장으로 프로젝트의 이슈부터 프로그램 설정을 통해 구체적인 평면, 단면, 입면 설계까지 어떻게 진행되어왔는지를 면밀히 분석하고 발표용으로 만들어진 영문 버젼...
28 pages | 다운로드 0 | 구매평가
IT전공 기출문제와 출처 그리고 그에관한 개념정리 [새창] →미리보기
[공학,기술계열] 등록일: 2015/11/29 | 등록자: pjh2174 | 판매가격: 5,000 원
2014년 상반기 하반기를 준비하기 위해 작성한 자료입니다. IT면접을 보게되는 경우 방대한 영역을 준비하는게 부담이 될 수 있습니다. 이것을 보신다면 넓게 질문들과 개념정리한 것을 보시면서 조금이나마 도움이...
16 pages | 다운로드 0 | 구매평가
Schutzenmattstrasse Apartment building and Office - Herzog & De meuron [새창] →미리보기
[공학,기술계열] 등록일: 2015/11/24 | 등록자: hyung88801 | 판매가격: 11,000 원
본문 내용에 기재된 내용이 포함됨. 프레젠테이션 장수 25장으로, 건축학도로서 갖추어야할 기본 건축설계론 및 응용이 가미된 액기스 케이스 스터디. 본인 제작 및 품질 보증. * 글꼴은 구매자가 자유롭게 바꿀...
25 pages | 다운로드 0 | 구매평가
AVR을 이용한 적외선 센서(PSD)의 거리측정 (거리측정하기,장애물감지,PSD센서,GP2Y0A21,회로도,소스코드,IR센서,장애물인식,적외선 센서실험,근접물체인식,동작원.. [새창] →미리보기
[공학,기술계열] 등록일: 2015/10/19 | 등록자: zeropointone | 판매가격: 4,000 원
프로젝트 파일, 결과사진/동영상] 실험환경이나 사용된 부품 리스트에 대해 작성되어 있어서 제공되는 소스코드와 회로도대로만 하시면 결과가 나올 것입니다. 소스코드에는 주석이 디테일하게 달아놓았고 실험마다...
15 pages | 다운로드 13 | 구매평가
AVR ATmega128을 이용한 LED실험 해석 (avr atmega128,led실험,led점멸,led 시프트,쉬프트,pwm led 밝기조절,광량조절, 소스코드, 회로도.. [새창] →미리보기
[공학,기술계열] 등록일: 2015/05/11 | 등록자: zeropointone | 판매가격: 4,000 원
프로젝트 파일, 결과사진/동영상] 실험환경이나 사용된 부품 리스트에 대해 작성되어 있어서 제공되는 소스코드와 회로도대로만 하시면 결과가 나올 것입니다. 소스코드에는 주석이 디테일하게 달아놓았고 실험마다...
16 pages | 다운로드 10 | 구매평가
건설 사업관리에 관해서 [새창] →미리보기
[공학,기술계열] 등록일: 2015/04/22 | 등록자: leewk2547 | 판매가격: 1,500 원
◉건설프로젝트 단계별 법령적용체계 설명 -건설업▷계약: 국가를 당사자로 하는 계약에 관한 법률, 하도급거래 공정화에 관한 법률, 지방자치단체로 당사자로 하는 계약에 관한 법률,시행령 ▷설계:...
6 pages | 다운로드 0 | 구매평가
학점은행제 소프트웨어공학 레포트 [새창] →미리보기
[공학,기술계열] 등록일: 2015/03/21 | 등록자: leejaeee7 | 판매가격: 5,000 원
소프트웨어공학에서 요구사항 명세서를 작성하는 이유와 요구사항명세서가 갖추어야할 조건들을 설명하시오.
2 pages | 다운로드 0 | 구매평가
전자회로 설계 및 실험 - 연산증폭기 특성 [새창] →미리보기
[공학,기술계열] 등록일: 2015/03/17 | 등록자: leewk2547 | 판매가격: 2,000 원
-요약문- 이번 실험에서는 UA741 연산 증폭기의 슬루율을 측정하고 공통모드제거비(CMR)를 계산 하여 데이터 시트값과 비교하여 본다. -실험 내용- 실험 1. 슬루율 결정
4 pages | 다운로드 0 | 구매평가
소프트웨어 공학 - 소프트웨어 공학 동향 [새창] →미리보기
[공학,기술계열] 등록일: 2015/03/16 | 등록자: leewk2547 | 판매가격: 2,000 원
소프트웨어 공학의 역사 CASE 사용자 인터페이스 관리 시스템 컴포넌트 기반 소프트웨어 개발 웹 엔지니어링 소프트웨어 재사용 소프트웨어 리엔지니어링 실시간 소프트웨어 설계
47 pages | 다운로드 0 | 구매평가
기계공학 - T형 타워크레인의 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2014/08/08 | 등록자: leewk2547 | 판매가격: 2,000 원
도입하였다. 경제성은 프로젝트의 범위 내에서 주재료의 제작비만 고려했다. 재료비를 낮추기 위해서 부재의 변형을 통한 2차 관성 모멘트값과 수치를 이용했다. 전체적인 설계는 트러스가 대부분을 차지한다. ...
13 pages | 다운로드 0 | 구매평가
rindex = [1]

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기