로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
학점은행제 소프트웨어공학 레포트 [새창] →미리보기
[공학,기술계열] 등록일: 2015/03/21 | 등록자: leejaeee7 | 판매가격: 5,000 원
소프트웨어공학에서 요구사항 명세서를 작성하는 이유와 요구사항명세서가 갖추어야할 조건들을 설명하시오.
2 pages | 다운로드 0 | 구매평가
전자기학2 설계 - EEE3543 Electricity and Magnetism II [새창] →미리보기
[공학,기술계열] 등록일: 2014/06/06 | 등록자: leewk2547 | 판매가격: 2,000 원
Abstract 디자인 프로젝트에서는 (1)다른 유전률을 가진 물질들을 코팅함으로써 quarter wave transformer의 역할을 할 수 있도록 디자인하고 (2)이러한 디자인의 요소가 주파수의 변화에 따라서 VSWR의 변화를...
4 pages | 다운로드 0 | 구매평가
인천대학교 통신 신호처리 프로젝트 [새창] →미리보기
[공학,기술계열] 등록일: 2014/06/04 | 등록자: pureask | 판매가격: 3,000 원
상기 질문에 대한 프로젝트 작성 파일입니다. 프로젝트에 대한 상세 내용은 아래의 링크를 참고하시기 바랍니다. http://blog.naver.com/haffywind/220020213444 참고하시고, 좋은 성적 받으시길 바랍니다.
57 pages | 다운로드 0 | 구매평가
로봇공학 - Kuka Robotics에 관해서 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 1,500 원
- Everything that moves in automation comes from KUKA Robot Group 자동차 산업이 아닌 일반 산업 부문에서는 특히 물류, 플라스틱, 금속 가공, 주조, 의학 기술 또는 엔터테인먼트 분야의 새로운 시장에...
6 pages | 다운로드 1 | 구매평가
[VHDL] Digital stop watch[디지털 스톱 워치] 설계 소스 - 키트와 쿼터스를 이용 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 2,000 원
더욱 선호되는 편이다. 이번 프로젝트 설계를 통해 학기 동안 배웠던 VHDL 문법을 복습하고, 나아가 더 복잡한 구조를 해석하고 설계할 수 있는 능력을 기를 수 있으며 키트의 세그먼트 활용과 클락 신호를 다루는...
11 pages | 다운로드 5 | 구매평가
[건설사업관리] 건설사업관리(CM)의 정의, 효과 및 개선방안 [새창] →미리보기
[공학,기술계열] 등록일: 2013/08/26 | 등록자: report777 | 판매가격: 2,000 원
프로젝트 초기단계에서부터 최종단계에 이르기까지 발주자의 입장에서 프로젝트 전반의 운영관리를 수행하는 방식을 말한다. 따라서 CM서비스의 실시 주체인 CM매니저의 업무내용은 발주자와 설계자와 협력하여...
16 pages | 다운로드 4 | 구매평가
최신 디지털 공학 - 사거리 신호등 시스템 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
신호등을 살펴봄으로써 신호등의 불이 어떻게 들어오는지, 신호등을 제어하려면 어떤 설계를 해야 하는지 알아보기 위하여 프로젝트수행하였다. 신호등에서 사용되는 플립플랍을 이용한 카운터와 타이머 디코더...
6 pages | 다운로드 2 | 구매평가
디지털 제어 디자인 프로젝트-우리별 2호 인공위성의 자세제어 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/06/27 | 등록자: keiosh | 판매가격: 400 원
프로젝트 우리별 2호 인공위성의 자세제어 설계입니다. a+ 받은 자료입니다. 내용요약 디지털 제어 디자인 프로젝트 -우리별 2호 인공위성의 자세제어 설계- 1.주제선정 - 우리별 1, 2호 인공위성 우리별...
9 pages | 다운로드 1 | 구매평가
[건축] 반복적인 건설프로젝트의 공정계획방법에 관한 고찰 [새창] →미리보기
[공학,기술계열] 등록일: 2013/06/18 | 등록자: leewk2547 | 판매가격: 2,000 원
1. 서론 2. 예비적 고찰 3. 반복적인 건설프로젝트의 공정계획 모형 4. 결론
10 pages | 다운로드 0 | 구매평가
[공학,윤리] 윤리적 의사결정 - 소프트웨어 무단사용 [새창] →미리보기
[공학,기술계열] 등록일: 2012/11/18 | 등록자: colroki | 판매가격: 1,500 원
소프트웨어의 무단사용에 관련하여 발생하는 윤리적 딜레마를 윤리적 의사결정 기법을 이용해서 해결방안을 찾아본다.
5 pages | 다운로드 0 | 구매평가
디지털 신호처리 [새창] →미리보기
[공학,기술계열] 등록일: 2012/04/09 | 등록자: leewk2547 | 판매가격: 1,800 원
이번 프로젝트는 입력이 non-causal unit step function 일 때, 출력이 causal하고 stable한 IIR 시스템을 설계하는 것이다. 또 한 이 시스템의 임펄스함수와 pole-zero plot의 위치를 분석하고 time-domain과...
7 pages | 다운로드 1 | 구매평가
[기계공학] ANSYS를 이용한 k-9 자주포의 포신 변형 해석 [새창] →미리보기
[공학,기술계열] 등록일: 2011/01/09 | 등록자: dalbong1849 | 판매가격: 3,000 원
ansys 프로그램을 이용하여 자주포에 일어날 수 있는 경우에 대해 상황을 부여하고 프로그램에 의해 해석된 결과를 분석한다. [참고자료] 없음 [자료범위] 없음 [이용대상] 없음
28 pages | 다운로드 4 | 구매평가
[컴퓨터] 버전컨트롤(형상관리 툴)의 종류와 SVN(Subversion) 사용법 [새창] →미리보기
[공학,기술계열] 등록일: 2010/11/11 | 등록자: amekist | 판매가격: 1,400 원
컴퓨터 공학에서 주로 사용하는 버전 컨트롤 툴의 종류와 업계 표준 툴인 Subversion의 실질적인 실습과 병행하여 작성된 레포트입니다. 내부에 디렉토리 구조와 실습 화면등의 이미지가 삽입되어 있습니다. A+...
17 pages | 다운로드 0 | 구매평가
[모든분야] 로봇 팔을 사용한 장애인을 위한 Autonomous Mobile Robot [새창] →미리보기
[공학,기술계열] 등록일: 2010/03/24 | 등록자: manddang190 | 판매가격: 3,000 원
사회적으로 몸이 불편한 장애인과 노약자들의 인구가 늘어나면서 이들을 위한 사회적 프로그램 혹은 기술 및 관련 기기의 대한 관심과 수요가 날로 증가되고 있다. 이런 사회적인 요구에 맞춰서 우리는...
59 pages | 다운로드 2 | 구매평가
[정보통계학과] 설계 프로젝트-회로 설계00 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/01 | 등록자: konggong12s | 판매가격: 3,500 원
설계 프로젝트-회로 설계00 위 자료 요약정리 잘되어 있으니 잘 참고하시어 학업에 나날이 발전이 있기를 기원합니다 ^^
16 pages | 다운로드 0 | 구매평가
rindex = [1]
123

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기