로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
파일구조론 팀프로젝트 [새창] →미리보기
[공학,기술계열] 등록일: 2012/08/14 | 등록자: dong1989 | 판매가격: 4,500 원
파일구조론 수업에 관한 팀프로젝트과제입니다. 전기사용량 관리시스템에 관한 프로젝트입니다. 전기관리프로그램 입니다.
12 pages | 다운로드 0 | 구매평가
파스칼 희귀언어 이용한 자동차 정보관리 프로그램 최종보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2013/03/21 | 등록자: dong1989 | 판매가격: 2,500 원
PL 팀프로젝트 최종보고서입니다 파스칼이라는 희귀언어를 이용하여 만든 자동차 정보관리 프로그램입니다....
5 pages | 다운로드 0 | 구매평가
파스칼 희귀언어 이용한 자동차소모품관리정보시스템 제안서 [새창] →미리보기
[공학,기술계열] 등록일: 2013/03/21 | 등록자: dong1989 | 판매가격: 1,200 원
파스칼 희귀언어 이용한 자동차소모품관리정보시스템입니다. 자동차의 소모품(엔진오일, 브레이크패드, 에어컨필터, 바퀴상태, 타이밍벨트 등)을 체크하는 프로그램.....
1 pages | 다운로드 0 | 구매평가
[컴퓨터공학과] [자바프로젝트]주차장 관리 프로그램 [새창] →미리보기
[공학,기술계열] 등록일: 2010/07/01 | 등록자: kimsangik | 판매가격: 4,900 원
수업시간 했던 프로젝트인데 A+맞은 과제입니다. 당시에 사용했던 발표자료 및 다큐먼트 첨부합니다. 자바를 약간만 사용하실줄 알면 피씨방이나 당구장 혹은 그 이외의 시간제로 요금계산을 하는 어느 매장에서도...
30 pages | 다운로드 8 | 구매평가
디지털발표 디지털 논리회로 및 실습Term Project.ppt [새창] →미리보기
[공학,기술계열] 등록일: 2014/05/25 | 등록자: yellow555 | 판매가격: 1,500 원
2. 주제소개 3. 진리표 4. 하드웨어 5. 진행계획 6. Q&A 참고 자료 디지털 논리회로 실험 (120개의 기본 실험과 12개의 텀 프로젝트) 임석구, 홍경호 저 한빛아카데미 2014.01.06 디지털 논리회로 실험 노의철,...
10 pages | 다운로드 3 | 구매평가
기초전자회로및실험 전자주사위 [TeamProject] [새창] →미리보기
[공학,기술계열] 등록일: 2014/05/16 | 등록자: yellow555 | 판매가격: 2,000 원
구성 3. 작품 선정 및 배경 및 목적 4. 회로도 5. 주요 소요 부품 및 예산 지출 6. 일정 7. 작품 제작과정 8. 고찰 및 향후 발전 방향 참고 자료 기초 전자회로 및 실험 민상원 저 홍릉과학출판사 2010.02.10...
5 pages | 다운로드 1 | 구매평가
JSP 정보처리기사 문제풀이 웹 [새창] →미리보기
[공학,기술계열] 등록일: 2017/12/14 | 등록자: qazxcs123 | 판매가격: 10,000 원
부트스트랩으로 만든 반응형 웹이라 pc,테블릿,모바일 어느 곳이든 최적화된 화면으로 볼 수 있습니다. 기말고사 팀프로젝트 힘들게 만드시지 마시고, 원들끼리 2,3천원 모아서 구매하시면 됩니다. PPT와 보고서도...
1 pages | 다운로드 0 | 구매평가
자바 Swing 으로 만든 영화예매 프로그램 [새창]
[공학,기술계열] 등록일: 2017/12/14 | 등록자: qazxcs123 | 판매가격: 10,000 원
Swing 프로젝트를 하신다면 그냥 구입해서 발표하세요. 위의 부분은 정도는 눈치못채고 넘어갈 정도고 퀄리티도 이정도면 괜찮은 수준입니다. DB도 만들어져있으나 데이터는 직접 넣으셔야 정상작동합니다. 원들은...
1 pages | 다운로드 0 | 구매평가
[Embedded Linux] Embedded Board에서 Qt로 코딩한 퍼즐 맞추기 게임 실행하기 [새창] →미리보기
[공학,기술계열] 등록일: 2009/06/26 | 등록자: darkzon0 | 판매가격: 3,000 원
퍼즐 맞추기 게임을 실행해보기 위해서 을 구성하여 프로젝트를 시작한 후 최종적으로 완성된 최종보고서입니다. Qt는 4.3.3 버전을 사용하였습니다. Embedded Board는 하이버스에서 판매하는 X-Hyper320TKU를...
22 pages | 다운로드 3 | 구매평가
디지털 논리 설계 - Altera Max+plus II 스탑워치 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/12/11 | 등록자: leewk2547 | 판매가격: 2,000 원
강의시간에 배운 이론을 바탕으로 실제 상품화 될 수 있는 하드웨어를 Altera Max+plus II 프로그램을 사용하여 설계한 프로젝트 결과입니다.`
14 pages | 다운로드 1 | 구매평가
[정보통계학과] 설계 프로젝트-회로 설계00 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/01 | 등록자: konggong12s | 판매가격: 3,500 원
설계 프로젝트-회로 설계00 위 자료 요약정리 잘되어 있으니 잘 참고하시어 학업에 나날이 발전이 있기를 기원합니다 ^^
16 pages | 다운로드 0 | 구매평가
[자바] 자바로 만든 도서관 도서관리프로그램 [새창] →미리보기
[공학,기술계열] 등록일: 2009/06/28 | 등록자: cowtree | 판매가격: 2,500 원
개발도구 : BlueJ 자바환경으로 제작된 도서관에서의 도서관리프로그램입니다 배경은 대학 도서관의 도서관리 전산 시스템을 가상하여 만들었습니다 그래픽환경은 사용되지 않았으며 DOS식으로 구동됩니다 PPT...
20 pages | 다운로드 21 | 구매평가
[디지털공학] [공과기술]디지털 시계 제작 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/06/27 | 등록자: cowtree | 판매가격: 3,000 원
2007년 1학기 디지털 시계 제작을 하며 만든 보고서 (프레젠테이션,워드문서) 총 70페이지로 구성된 계획,중간,최종 보고서 모두 압축하여 올립니다 [참고자료] 디지털공학 교재, 교수님 추천...
70 pages | 다운로드 10 | 구매평가
한국철도공사 자기소개서) 2024년 코레일 자소서 채용형인턴 예문 한국철도공사에서 글로벌 경쟁력을 높이기 위해 실시하고 있는 사업에 대해 이야기하고, 자신이 수행할 수 있.. [새창] →미리보기
[공학,기술계열] 등록일: 2024/03/18 | 등록자: asdfghjkl | 판매가격: 4,000 원
★ ‘워크 강화와 갈등 해결’의 실제 사례를 들어 지원자의 문제 해결 능력과 협업 역량을 구체적으로 설명했습니다. ★ 고객 중심의 서비스 개선과 목표 설정에 대한 실질적 경험을 바탕으로 지원자의...
4 pages | 다운로드 33 | 구매평가
기아자동차 생산직 자기소개서 2023년) 기아자동차 엔지니어 전문기술인력 자소서 지원한 분야와 연결시켜, 본인의 강점과 약점을 기술하고, 이를 보완하기 위한 노력과 경험에.. [새창] →미리보기
[공학,기술계열] 등록일: 2023/12/29 | 등록자: asdfghjkl | 판매가격: 3,000 원
프로젝트 경험, 전문적인 지식과 기술을 실제 사례와 함께 전문적으로 잘 설명하고 있습니다. ★ 이 자기소개서는 기아자동차 생산직 전문기술인력 금형 부문 신규 채용에 지원하는 지원자들에게 최적의 참고자료가...
4 pages | 다운로드 2 | 구매평가
rindex = [1]
12

 

중간시험과제물자료바로가기 씽유 캠퍼스플러스 위로 닫기