로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
프로그래밍언어론2공통형 프로그래밍 언어의구성요소 중 데이터 연산 명령어 타입 5줄이내로 설명 BNF로 표현된 다음 여섯개구문을 이용하여 답하시오00 퓨터.. [새창] →미리보기
[공학,기술계열] 등록일: 2024/09/11 | 등록자: konggong12s | 판매가격: 6,000 원
여섯개의 구문을 이용하여 답하시오00 퓨터과학과 프로그래밍언어론2공통형 1. 다음에 대해 답하시오. (15점) (1) 프로그래밍 언어의 구성 요소 중 데이터, 연산, 명령어, 타입을 각각 5줄 이내로 설명하시오. ...
6 pages | 다운로드 1 | 구매평가
(인터넷과 정보사회, A+) 컴파일러와 인터프리터의 역할과 두 방식의 기능적 차이점을 구체적으로 서술하시오. [새창] →미리보기
[공학,기술계열] 등록일: 2023/01/26 | 등록자: ryanhschoi | 판매가격: 6,000 원
정보사회, A+) 컴파일러와 인터프리터의 역할과 두 방식의 기능적 차이점을 구체적으로 서술하시오. 김인경(2022.03.27), 프로그래밍 언어에 담긴 이야기2, BLOTER. 정재화, 곽덕훈(2015). 인터넷과정보사회....
13 pages | 다운로드 0 | 구매평가
[좋은 프로그래밍 언어를 설계하는 원칙] 좋은 프로그래밍 언어를 설계하는 원칙 [새창] →미리보기
[공학,기술계열] 등록일: 2010/04/24 | 등록자: mario | 판매가격: 2,000 원
좋은 프로그래밍 언어를 설계하는 원칙
18 pages | 다운로드 1 | 구매평가
[전자공학] AVR 개미로봇 [ATmega128,이공계 졸업작품] [새창] →미리보기
[공학,기술계열] 등록일: 2010/01/30 | 등록자: pcsrush | 판매가격: 9,900 원
ATmega128, 서보모터 HS-311 (또는 동종계열) 3개 등. 자료 페이지수 : 38장 (A4용지) 프로그램 언어 : C언어 컴파일러 : 코드비젼 Codevision , 파일을 다운받아 압축을 푸시면 hex 파일도 있습니다. 자료 내용...
38 pages | 다운로드 10 | 구매평가
[공과기술] Chapt01 / 1 퓨터와 프로그램 이해점검 해답 1. 맞으면 O, 틀리면 [새창] →미리보기
[공학,기술계열] 등록일: 2009/10/07 | 등록자: babyzoro48 | 판매가격: 500 원
1 퓨터와 프로그램 이해점검 해답 1. 맞으면 O, 틀리면 X로 표시하...
3 pages | 다운로드 0 | 구매평가
[퓨터공학부] #(c++) 에대한 요약정리한 한글파일 20페이지 레포트입니다. [새창] →미리보기
[공학,기술계열] 등록일: 2009/04/16 | 등록자: parkwanki | 판매가격: 2,000 원
#(c++) 에대한 요약정리한 한글파일 20페이지 레포트입니다. A+학점받은 레포트입니다. 감사합니다^^
20 pages | 다운로드 2 | 구매평가
2023년 1학기 방송통신대 파이썬프로그래밍기초 출석수업대체과제물)다음 파이썬의 프로그래밍 언어적 특징 중 2개를 선택하여 각각 500자 정도로 다른 프로그래.. [새창] →미리보기
[공학,기술계열] 등록일: 2023/04/10 | 등록자: sunnyfanta | 판매가격: 5,000 원
과제물에 적합한 형식과 내용으로 정성을 다해 작성했습니다. 리포트 작성에 참고하시어 좋은 성적 받으세요~ 문단 모양(왼쪽 여백 0, 오른쪽 여백 0, 줄간격 160%) 글자 모양(굴림체, 장평 100%, 크기 11 pt, 자간...
6 pages | 다운로드 0 | 구매평가
씨샵 프로그래밍 (입출력,데이터 형식, 형변환) [새창] →미리보기
[공학,기술계열] 등록일: 2021/12/09 | 등록자: starred24 | 판매가격: 1,900 원
설계 3. 프로그램 구현 4. 테스트와 디버깅 5. 프로그램 유지 보수 프로그램을 만들 때 곧바로 프로그램을 만들기 시작한다면 설계도도 없이 건물을 짓는 것과 마찬가지 입니다. 기능과 구현방식을 미리 생각하고,...
42 pages | 다운로드 0 | 구매평가
[대학 프로그래밍 C언어] 6. 함수 [새창] →미리보기
[공학,기술계열] 등록일: 2021/07/07 | 등록자: tjr6155 | 판매가격: 2,000 원
대학교 [프로그래밍 C언어]를 수강하며 하나부터 열까지 모두 기록한 필기 자료입니다. 사용 대상 1. Visual Studio를 사용해 C언어를 입문 하시려는 분 2. 코딩과 프로그래밍에 문외 하지만 프로그래밍 강의를...
8 pages | 다운로드 0 | 구매평가
레드 블랙 트리 ( Making Index implementation by red-black-tree Algorithms ) [새창]
[공학,기술계열] 등록일: 2020/07/04 | 등록자: ksch8004 | 판매가격: 6,000 원
책 4권과 노이즈 단어라는 파일입니다. 이 프로그램은 책 4권을 읽어 들여 노이즈 단어를 제외하고 모든 단어를 red-black tree에 넣습니다. ShowAllLineNumber 버튼 : 전체 단어의 라인넘버 출력 ShowAllFrequency...
0 pages | 다운로드 0 | 구매평가
고급윈도우즈프로그래밍(서버와클라이언트 멀티쓰레드 통신)실습 [새창] →미리보기
[공학,기술계열] 등록일: 2017/12/26 | 등록자: powpark4 | 판매가격: 4,500 원
1. 자료명 : 고급윈도우즈프로그래밍(서버와클라이언트 멀티쓰레드 통신)실습 2. 내 용 : 실습 보고서(컴파일 결과 포함), 소스코드
8 pages | 다운로드 0 | 구매평가
IT전공 기출문제와 출처 그리고 그에관한 개념정리 [새창] →미리보기
[공학,기술계열] 등록일: 2015/11/29 | 등록자: pjh2174 | 판매가격: 5,000 원
2014년 상반기 하반기를 준비하기 위해 작성한 자료입니다. IT면접을 보게되는 경우 방대한 영역을 준비하는게 부담이 될 수 있습니다. 이것을 보신다면 넓게 질문들과 개념정리한 것을 보시면서 조금이나마 도움이...
16 pages | 다운로드 0 | 구매평가
[디지털 회로설계] VHDL을 통한 Gray Code 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
1. 제목 : VHDL을 통한 Gray Code 설계 2. 개요 : 1) 목적 : Karnaugh map을 이용하여 Gray code를 설계하고 검증하여, Quartus2와 Karnaugh map을 통한 minimization에 대한 이해도를 높인다.
6 pages | 다운로드 0 | 구매평가
고급 시스템 프로그래밍 - 나만의 error code 만들기 [새창] →미리보기
[공학,기술계열] 등록일: 2012/05/17 | 등록자: leewk2547 | 판매가격: 1,500 원
1. Error Code 2. DLL 제작 3. 01 ErrorShow 프로젝트에 DLL 끼워 넣기 4. 실행 화면
8 pages | 다운로드 0 | 구매평가
[퓨터] Vista 비스타,XP에서 OS 새로 설치 후 첫 사용자 이름(관리자계정,Αdministrator, %USERNAME%)을 한글이나 잘못된 이름으.. [새창] →미리보기
[공학,기술계열] 등록일: 2009/12/03 | 등록자: fsshap | 판매가격: 500 원
을 새로 포멧 후 설치를 할때 첫음 사용할 사용자계정(관리자계정)의 이름을 넣어라고 한다. 무턱대로 이름을 넣어라 하여서 그냥 단순하게 자신의 `한글이름`을 넣어 버리는 경우가 생기며 나중에 이때문에...
1 pages | 다운로드 0 | 구매평가
rindex = [1]
12

 

중간시험과제물자료바로가기 씽유 캠퍼스플러스 위로 닫기