로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
(방송대) 우리말의역사 - 국어사의 연구 방법, 언어 변화의 유형, 국어의 계통과 알타이 제어의 공통특질, 국어사의 시대구분, 이두, 구결, 향찰.. [새창] →미리보기
[인문,어학계열] 등록일: 2022/03/24 | 등록자: happyhappy85 | 판매가격: 3,500 원
해당자료는 주제에 맞게 자료조사후 작성하였음을 알려드립니다. - 짜깁기 XX - 바로 제출용이 아니며 - 구매자분이 재편집을 통해서 - 만점짜리 완성본을 만드셔야합니다. - 자료활용에 도움이 되시길 바랍니다.
3 pages | 다운로드 0 | 구매평가
(HR) 컴퓨터네트워크 TCP의 흐름제어와 혼잡제어, 라우팅 프로토콜과 패 [새창] →미리보기
[인문,어학계열] 등록일: 2022/02/19 | 등록자: happyhappy85 | 판매가격: 4,000 원
이 자료는 해당 주제에 맞게 자료 조사후 작성하였습니다. - 짜깁기 한 자료가 아닙니다. - 제출용이 아닌 - 구매자께서 추가 조사후 재편집하셔서 - 완벽한 완성본을 만드시는겁니다. - 자료활용에 많은 도움이...
4 pages | 다운로드 0 | 구매평가
[전자전기] 제어공학실험 - 간단한 시스템 설계를 통한 LOOT ROCUS 응용 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
1. Introduction 간단한 시스템 설계를 통해 Root Locu...
8 pages | 다운로드 4 | 구매평가
사업계획서 휴대폰 유심칩을 이용한 차량제어 [새창] →미리보기
[공학,기술계열] 등록일: 2011/09/29 | 등록자: jeusjjy | 판매가격: 3,000 원
SIM을 이용한 시스템이지만 체제 자체를 처음부터 만드는 것이 아니라, 기존에 존재하던 원격시동경보기와 스마트키 기능을 할 수 있는 컨텐츠를 포함 시킨 것 뿐 이다. 또한 다른 기계 부품을 사용하는 게 아닌...
15 pages | 다운로드 0 | 구매평가
[스포츠] 스포츠 심리 이론(운동제어이론) [새창] →미리보기
[예체능계열] 등록일: 2009/10/14 | 등록자: bystorm | 판매가격: 1,000 원
각 이론에 대한 내용이구요...레포트로 제출 했었기 때문에 참고하셔서 작성하시는데 좋을꺼에요
5 pages | 다운로드 2 | 구매평가
[전자/제어/디지털/쿼터스] 쿼터스를 이용한 디지털 시계 소스(블록파일,시뮬파일 통째압축)/FPGA모듈/텀프로젝트 [새창]
[공학,기술계열] 등록일: 2009/07/06 | 등록자: legeniter | 판매가격: 3,000 원
기말과제로한 디지털시계 만들기입니다. 100퍼센트 실행 보장합니다. 피와 땀이 녹아있는 블록다이어그램 파일을 통째 압축했습니다. 그대로 컴파일 돌려서 인스톨하시면 바로 가능합니다. 알람, 초시계, 달력,...
1 pages | 다운로드 24 | 구매평가
[전기제어] 반도체 소자의 종류 및 동작원리(정격용량) [새창] →미리보기
[공학,기술계열] 등록일: 2009/03/25 | 등록자: ssamjizzang7 | 판매가격: 1,300 원
-다이오드 -트랜지스터 -사이리스터
11 pages | 다운로드 4 | 구매평가
[전기제어] 전력용 반도체 소자에 대하여 [새창] →미리보기
[공학,기술계열] 등록일: 2009/03/25 | 등록자: ssamjizzang7 | 판매가격: 1,500 원
-다이오드 -트랜지스터 -사이리스터
16 pages | 다운로드 7 | 구매평가
[전기제어] R,L,C (직렬회로,병렬회로) [새창] →미리보기
[공학,기술계열] 등록일: 2009/03/25 | 등록자: ssamjizzang7 | 판매가격: 500 원
R-L , R-C 직렬회로 R-L , R-C 병렬회로
3 pages | 다운로드 4 | 구매평가
[전기제어] 신호 및 시스템 [새창] →미리보기
[공학,기술계열] 등록일: 2009/03/25 | 등록자: ssamjizzang7 | 판매가격: 400 원
신호 및 시스템의 정의 변조란? 음성신호를 디지털 신호로 바뀌는 원리
2 pages | 다운로드 2 | 구매평가
[전기제어] PLC에 대하여... [새창] →미리보기
[공학,기술계열] 등록일: 2009/03/25 | 등록자: ssamjizzang7 | 판매가격: 400 원
PLC에 관한 개요, 특징, 배경, 구성요소등...
3 pages | 다운로드 5 | 구매평가
방송대_22학년도1학기)_우리말의역사(공통) - 교재와 방송강의 1-4강을 참고하여 다음에 대하여 설명하시오 [새창] →미리보기
[인문,어학계열] 등록일: 2023/02/13 | 등록자: ssonda11 | 판매가격: 4,000 원
교재와 방송강의 1∼4강을 참고하여 다음에 대하여 설명하시오.(5문항×6점=30점) 1. 국어사의 연구 방법 2. 언어 변화의 유형 3. 국어의 계통과 알타이 제어의 공통특질 4. 국어사의 시대구분 5. 이두, 구결,...
7 pages | 다운로드 0 | 구매평가
항공기유압장치계통의 각 부품명칭과 그 기능에 대하여 조사하시오 [새창] →미리보기
[공학,기술계열] 등록일: 2020/11/22 | 등록자: jaemin98729 | 판매가격: 1,000 원
1. 서론 2. 본론 1.1. 레저버 1.2. 유압펌프 1.3. 축압기 1.4. 여과기 1.5. 각종 제어 밸브 3. 결론 4. 참고문헌
7 pages | 다운로드 0 | 구매평가
[졸업논문] 바이크형 운동부하제어장치 하드웨어 구현 [새창] →미리보기
[공학,기술계열] 등록일: 2008/10/12 | 등록자: ladyyes | 판매가격: 5,500 원
본 논문은 바이크형 운동부하 제어자치 하드웨어 구현이다. 적절한 운동 부하제어는 운동의 효율성을 높일 뿐만 아니라 안전하게 운동을 할 수 있도록 도와준다. PC와 USB통신으로 메세지를 주고 받음으로서 부하가...
31 pages | 다운로드 1 | 구매평가
Regenerator [새창]
[공학,기술계열] 등록일: 2007/05/50 | 등록자: CPIA_jykim | 판매가격: 1,000 원
Regenerator 촉매냉각기 재생기 온도제어 열교환 / ()
2 pages | 다운로드 0 | 구매평가
rindex = [1]

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기