로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
제어문에 대해 [새창] →미리보기
[공학,기술계열] 등록일: 2012/04/24 | 등록자: leewk2547 | 판매가격: 1,500 원
1. 제어문의 개념 2. if 3. if~else 4. 다중 if~else 5. switch 6. 지정된 횟수만큼 반복하는 for 7. 조건을 먼저 검사하는 while 8. 조건을 나중에 검색하는 do~while
15 pages | 다운로드 0 | 구매평가
AVR 자동 만들기 (AVR 자동,자동 소스코드,자동 회로도,ATmega128 자동,적외선 센서,P.. [새창] →미리보기
[공학,기술계열] 등록일: 2017/04/08 | 등록자: zeropointone | 판매가격: 5,000 원
AVR 자동,자동 소스코드,자동 회로도,ATmega128,적외선 센서,PSD센서,ADC,모터제어 등에 관련된 내용을 담고 있습니다. [구성 : 보고서, 회로도, 소스코드, 결과사진/동영상] 보고서에 실험환경이나 사용된...
14 pages | 다운로드 13 | 구매평가
전자 제어 - vhdl 자동 만들기 [새창] →미리보기
[자연과학계열] 등록일: 2013/12/12 | 등록자: leewk2547 | 판매가격: 2,000 원
노약자, 장애인 등의 이용공간에서 수동의 단점을 완벽하게 극복 각종 안전장치로 시스템을 통제되므로 기존 수동에서 보여왔던 손끼임 현상, 도어이탈에 따른 사고 등을 예방
11 pages | 다운로드 1 | 구매평가
[컴퓨터 프로그래밍] C++개요, C++의 기본 형식, C++의 변수, 연산자, 제어문, 배열과 포인터 [새창] →미리보기
[공학,기술계열] 등록일: 2013/12/27 | 등록자: leewk2547 | 판매가격: 2,000 원
C++ 개 요 1. C++이란? 프로그래밍 언어의 한 종류로써 C 언어의 기능을 확장하여 만든 객체 지향형 프로그래밍 언어를 말하며 여기서 객체란 변수를 의미한다. (변수 : int, real, double, char 등)
10 pages | 다운로드 0 | 구매평가
MATLAB [새창]
[공학,기술계열] 등록일: 2007/05/50 | 등록자: CPIA_jykim | 판매가격: 2,300 원
MATLAB 행렬 벡터 배열연산 흐름제어문 / ()
20 pages | 다운로드 3 | 구매평가
[정보통신학과] M-file 프로그래밍0k [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/01 | 등록자: konggong12s | 판매가격: 5,000 원
M-file 프로그래밍0k 위 자료 요약정리 잘되어 있으니 잘 참고하시어 학업에 나날이 발전이 있기를 기원합니다 ^^
64 pages | 다운로드 0 | 구매평가
프로그래밍언어론2공통형 프로그래밍 언어의구성요소 중 데이터 연산 명령어 타입 5줄이내로 설명 BNF로 표현된 다음 여섯개구을 이용하여 답하시오00 컴퓨터.. [새창] →미리보기
[공학,기술계열] 등록일: 2024/09/11 | 등록자: konggong12s | 판매가격: 6,000 원
<장들> <장>; (1) 위 구문을 하나의 EBNF로 합쳐 표현하고 그렇게 표현한 이유를 설명하시오. (2) 위 구문을 하나의 구 도표로 합쳐 표현하고 그렇게 표현한 이유를 설명하시오. 나름대로 최선을 다해 작성한...
6 pages | 다운로드 1 | 구매평가
환경친화형농업3공통) 시설재배토양의 특성과 친환경적 관리방안을 설명하시오0k 농학 환경친화형농업3공통형 [새창] →미리보기
[자연과학계열] 등록일: 2022/08/30 | 등록자: konggong12s | 판매가격: 9,000 원
과제물 작성하는데 큰 도움이 되었으면 좋겠습니다. 참고용이니 원본 그대로 제출하지 마시고 나름대로 창작적인 글 만드신다면 좋은 레포트와 논이 되리라 생각합니다. 구입자 여러분의 앞날에 행운이 항상...
10 pages | 다운로드 8 | 구매평가
(출석대체 컴퓨터1) 인터넷과정보사회 - 컴파일러와 인터프리터의 장단점을 서술하시오 [새창] →미리보기
[인문,어학계열] 등록일: 2022/04/26 | 등록자: skdi6890 | 판매가격: 4,000 원
2022년 1학기 방송통신대학교 출석대체 과제물 - 과목별 지시사항에 맞추어 작성하였습니다. - 한글파일 기본 규격입니다. - 공개자료이므로 그대로 사용하기를 권장하지 않습니다.
4 pages | 다운로드 2 | 구매평가
시설원예학4공통) 온실의 방향결정할 때 고려할 조건경질판의 종류별 내충격성과 자외선투과율 차이시설내 광환경특징설명하시오0k 농학과 시설원예학4공통 [새창] →미리보기
[자연과학계열] 등록일: 2021/05/14 | 등록자: konggong12s | 판매가격: 8,000 원
과제물 작성하는데 큰 도움이 되었으면 좋겠습니다. 참고용이니 원본 그대로 제출하지 마시고 나름대로 창작적인 글 만드신다면 좋은 레포트와 논이 되리라 생각합니다. 구입자 여러분의 앞날에 행운이 항상...
8 pages | 다운로드 2 | 구매평가
#메이플스토리 경매장 #주흔 낚시꾼들꺼 사재기.zip #주흔낚시꾼 낚기 #9999개 600만 이득 [새창]
[공학,기술계열] 등록일: 2020/04/13 | 등록자: ddangkug | 판매가격: 5,000 원
#메이플스토리 경매장 #주흔 낚시꾼들꺼 사재기.zip #주흔낚시꾼 낚기 #9999개 600만 이득 필요물품을 알집에 구성과 모르는부분있으면 메일 보내주세요
1 pages | 다운로드 2 | 구매평가
굿윌 헌팅(Good Will Hunting) 영화 감상 [새창] →미리보기
[기타] 등록일: 2019/01/28 | 등록자: dodopaf | 판매가격: 1,000 원
본 자료는 <굿윌 헌팅(Good Will Hunting) 영화 감상>에 대해 서술한 자료로 굿윌 헌팅의 줄거리와 주인공의 심리분석 및 느낀점 등을 다양하게 반영하여 작성한 영화 감상문입니다.
3 pages | 다운로드 0 | 구매평가
사복음서 사건 순서 [새창] →미리보기
[인문,어학계열] 등록일: 2018/05/31 | 등록자: wksh0418 | 판매가격: 4,500 원
1. 예수님의 공생애 전 역사. (요 1:1; 태초에 말씀이 계시니라 이 말씀이 하나님과 함께 계셨으니 이 말씀 은 곧 하나님이시니라 1:2; 그가 태초에 하나님과 함께 계셨고 1:3; 만물이 그로...
168 pages | 다운로드 0 | 구매평가
컴퓨터의이해1공통 교재 1.7.1절 개인용컴퓨터 5,16 페이지읽고 개인용컴퓨터를 하나 선정한다 2차원바코드에 대하여 다음의 내용을 A4 용지 2페이지 이내로 서술하라0k.. [새창]
[공학,기술계열] 등록일: 2017/03/17 | 등록자: konggong12s | 판매가격: 5,000 원
아래의 제에 대하여 A4 용지 2페이지 이내로 서술하라. (15점) (가) 교재 1.7.1절 개인용 컴퓨터 (15,16 페이지)을 읽고 개인용 컴퓨터를 하나 선정한다. (나) 교재 1.4.1절 컴퓨터시스템의 하드웨어(9페이지)와...
10 pages | 다운로드 2 | 구매평가
조명의 골격 설명 [새창] →미리보기
[공학,기술계열] 등록일: 2016/01/26 | 등록자: hb2577 | 판매가격: 3,500 원
본 자료는 대학교, 공업전대학교 전기공학과의 전기설비 과목 또는 조명공학 과목 강의에 이용되는 자료로서 조명의 용어에 대해 상세하게 설명한 자료입니다.
18 pages | 다운로드 0 | 구매평가
rindex = [1]

 

중간시험과제물자료바로가기 씽유 캠퍼스플러스 위로 닫기