통합검색
레포트
방송통신
서식
인문,어학계열
사회과학계열
교육계열
공학,기술계열
자연과학계열
의학계열
예체능계열
기타
레포트
> 공학,기술계열
정확도순
최신등록순
다운높은순
분량많은순
낮은가격순
높은가격순
제어문
에 대해
[새창]
→미리보기
[공학,기술계열]
등록일: 2012/04/24 | 등록자:
leewk2547
| 판매가격:
1,500 원
1.
제어문
의 개념 2. if
문
3. if~else
문
4. 다중 if~else
문
5. switch
문
6. 지정된 횟수만큼 반복하는 for
문
7. 조건을 먼저 검사하는 while
문
8. 조건을 나중에 검색하는 do~while
문
15
pages | 다운로드
0
| 구매평가
AVR 자동
문
만들기 (AVR 자동
문
,자동
문
소스코드,자동
문
회로도,ATmega128 자동
문
,적외선 센서,P..
[새창]
→미리보기
[공학,기술계열]
등록일: 2017/04/08 | 등록자:
zeropointone
| 판매가격:
5,000 원
AVR 자동
문
,자동
문
소스코드,자동
문
회로도,ATmega128,적외선 센서,PSD센서,ADC,모터
제어
등에 관련된 내용을 담고 있습니다. [구성 : 보고서, 회로도, 소스코드, 결과사진/동영상] 보고서에 실험환경이나 사용된...
14
pages | 다운로드
13
| 구매평가
[컴퓨터 프로그래밍] C++개요, C++의 기본 형식, C++의 변수, 연산자,
제어문
, 배열과 포인터
[새창]
→미리보기
[공학,기술계열]
등록일: 2013/12/27 | 등록자:
leewk2547
| 판매가격:
2,000 원
C++ 개 요 1. C++이란? 프로그래밍 언어의 한 종류로써 C 언어의 기능을 확장하여 만든 객체 지향형 프로그래밍 언어를 말하며 여기서 객체란 변수를 의미한다. (변수 : int, real, double, char 등)
10
pages | 다운로드
0
| 구매평가
MATLAB
[새창]
[공학,기술계열]
등록일: 2007/05/50 | 등록자:
CPIA_jykim
| 판매가격:
2,300 원
MATLAB 행렬 벡터 배열연산 흐름
제어문
/ ()
20
pages | 다운로드
3
| 구매평가
[정보통신학과] M-file 프로그래밍0k
[새창]
→미리보기
[공학,기술계열]
등록일: 2009/11/01 | 등록자:
konggong12s
| 판매가격:
5,000 원
M-file 프로그래밍0k 위 자료 요약정리 잘되어 있으니 잘 참고하시어 학업에 나날이 발전이 있기를 기원합니다 ^^
64
pages | 다운로드
0
| 구매평가
프로그래밍언어론2공통형 프로그래밍 언어의구성요소 중 데이터 연산 명령어 타입 5줄이내로 설명 BNF로 표현된 다음 여섯개구
문
을 이용하여 답하시오00 컴퓨터..
[새창]
→미리보기
[공학,기술계열]
등록일: 2024/09/11 | 등록자:
konggong12s
| 판매가격:
6,000 원
<
문
장들> <
문
장>; (1) 위 구문을 하나의 EBNF로 합쳐 표현하고 그렇게 표현한 이유를 설명하시오. (2) 위 구문을 하나의 구
문
도표로 합쳐 표현하고 그렇게 표현한 이유를 설명하시오. 나름대로 최선을 다해 작성한...
6
pages | 다운로드
7
| 구매평가
#메이플스토리 경매장 #주흔 낚시꾼들꺼 사재기.zip #주흔낚시꾼 낚기 #9999개 600만 이득
[새창]
[공학,기술계열]
등록일: 2020/04/13 | 등록자:
ddangkug
| 판매가격:
5,000 원
#메이플스토리 경매장 #주흔 낚시꾼들꺼 사재기.zip #주흔낚시꾼 낚기 #9999개 600만 이득 필요물품을 알집에 구성과 모르는부분있으면 메일 보내주세요
1
pages | 다운로드
2
| 구매평가
컴퓨터의이해1공통 교재 1.7.1절 개인용컴퓨터 5,16 페이지읽고 개인용컴퓨터를 하나 선정한다 2차원바코드에 대하여 다음의 내용을 A4 용지 2페이지 이내로 서술하라0k..
[새창]
[공학,기술계열]
등록일: 2017/03/17 | 등록자:
konggong12s
| 판매가격:
5,000 원
아래의
문
제에 대하여 A4 용지 2페이지 이내로 서술하라. (15점) (가) 교재 1.7.1절 개인용 컴퓨터 (15,16 페이지)을 읽고 개인용 컴퓨터를 하나 선정한다. (나) 교재 1.4.1절 컴퓨터시스템의 하드웨어(9페이지)와...
10
pages | 다운로드
2
| 구매평가
조명의 골격 설명
[새창]
→미리보기
[공학,기술계열]
등록일: 2016/01/26 | 등록자:
hb2577
| 판매가격:
3,500 원
본 자료는 대학교, 공업전
문
대학교 전기공학과의 전기설비 과목 또는 조명공학 과목 강의에 이용되는 자료로서 조명의 용어에 대해 상세하게 설명한 자료입니다.
18
pages | 다운로드
0
| 구매평가
AVR 스위치 입력관련기술과 키 디바운싱 기술 (스위치 입력,키 디바운싱,키입력,키 채터링,풀업,풀다운저항,pull up,sw입력,ATmega128,소스코드,회로도,스
[새창]
[공학,기술계열]
등록일: 2015/09/30 | 등록자:
zeropointone
| 판매가격:
4,000 원
작성한 압축
문
서입니다. [구성 : 보고서, 회로도, 소스코드, 결과사진/동영상] 보고서에 실험환경이나 사용된 부품리스트 등이 나와 있기때
문
에 제공되는 소스코드와 회로도대로만 하시면 의도된 결과가 나올 것...
23
pages | 다운로드
0
| 구매평가
반도체 공학 - 3D 프린터에 관해서
[새창]
→미리보기
[공학,기술계열]
등록일: 2015/04/22 | 등록자:
leewk2547
| 판매가격:
2,500 원
3d프린터란 3d프린터 언제 부터생겻는지 3d프린터 작동원리 + 노즐 프로그램은 무얼쓰는지 원료(잉크는 뭐가 잇는지) 3d프린터 회사 별 특징 3d프린터 시장, 주요기사 3d프린터 전망, 주요기사 3d프린터 내 생각 Q&A...
18
pages | 다운로드
2
| 구매평가
System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간설정 + 알람설정 구현
[새창]
→미리보기
[공학,기술계열]
등록일: 2014/04/16 | 등록자:
leewk2547
| 판매가격:
3,000 원
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity easy_clock is port ( clk_in: in std_logic; resetn: in std_logic;
47
pages | 다운로드
0
| 구매평가
[Verilog프로그래밍]D래치, 플리플롭, shift register
[새창]
→미리보기
[공학,기술계열]
등록일: 2013/04/25 | 등록자:
leewk2547
| 판매가격:
2,000 원
방법과 always
문
을 사용한 동작적 모델링 방 법의 래치, 플립플롭 설계 방법을 익히고 이를 간단한 회로의 설계에 적용한다. 2. 기초지식 - feedback이 있는 dataflow 모델링 방법 동작적 모델링에서 회로의 동작은...
6
pages | 다운로드
1
| 구매평가
엘리베이터의 안전장치
[새창]
→미리보기
[공학,기술계열]
등록일: 2012/05/18 | 등록자:
leewk2547
| 판매가격:
1,400 원
기본적인 구조는 사람이 타는 곳을 케이지 혹은 카라고 부르는데 쉽게 생각해서 그냥 큰 박스라고 생각하면 됩니다. 그 박스를 상부, 측부, 하부에 프레임이 감싸고 있구요. 프레임을 동력원이 끌어당기고 밀고...
5
pages | 다운로드
0
| 구매평가
프로그래밍 언어론 - 프로그래밍 언어의 역사
[새창]
→미리보기
[공학,기술계열]
등록일: 2012/04/09 | 등록자:
leewk2547
| 판매가격:
1,200 원
프로그래밍 언어론에 관련된 레포트입니다 그림과 사진이 포함되어있고 열심히 작성한 레포트이며 교수님께 좋은평가를 받은 자료입니다. 참고자료로 이용하셔서 좋은레포트 작성하세요~
3
pages | 다운로드
1
| 구매평가
rindex = [1]
1
2
회사소개
|
이용약관
|
저작권 규정
|
개인정보 취급방침
|
고객센터
|
1:1문의
|
이메일무단수집거부
|
책임의 한계와 법적고지