로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
[전자, 기초회로실험] 연산증폭기 전압비교기(예비보고서) [새창] →미리보기
[공학,기술계열] 등록일: 2009/06/05 | 등록자: jihyekwon | 판매가격: 1,000 원
cad로 각 시뮬레이션을 돌린 결과도 캡쳐해서 작성했기 때문에 바로 그대로 제출해도 손색이 없는 자료입니다. [이용대상]
7 pages | 다운로드 1 | 구매평가
[전자, 기초회로실험] 2차 능동필터(예비보고서) [새창] →미리보기
[공학,기술계열] 등록일: 2009/06/05 | 등록자: jihyekwon | 판매가격: 1,000 원
cad로 각 시뮬레이션을 돌린 결과도 캡쳐해서 작성했기 때문에 바로 그대로 제출해도 손색이 없는 자료입니다. [이용대상]
8 pages | 다운로드 0 | 구매평가
[전자, 기초회로실험] 정전류원과 전류-전압 변환 회로. (예비보고서) [새창] →미리보기
[공학,기술계열] 등록일: 2009/06/05 | 등록자: jihyekwon | 판매가격: 1,000 원
cad로 각 시뮬레이션을 돌린 결과도 캡쳐해서 작성했기 때문에 바로 그대로 제출해도 손색이 없는 자료입니다. [이용대상]
9 pages | 다운로드 6 | 구매평가
[전자, 전자회로실험] 실험24 미분기 회로 시뮬레이션 [새창] →미리보기
[공학,기술계열] 등록일: 2009/06/05 | 등록자: jihyekwon | 판매가격: 200 원
미분기 회로 시뮬레이션 결과 레포트 입니다. 피스파이스를 이용한 화면도 캡처되어 있습니다. [이용대상]
1 pages | 다운로드 3 | 구매평가
[전자, 전자회로실험] 실험29 능동 필터 회로 [새창] →미리보기
[공학,기술계열] 등록일: 2009/06/05 | 등록자: jihyekwon | 판매가격: 500 원
필터 회로의 실험결과를 표로 정리하였고 각 표의 결과값에 대한 그래프도 함께 작성되어 있습니다. [이용대상]
5 pages | 다운로드 3 | 구매평가
[전자, 전자회로실험] 실험28 선형 연산 증폭기 회로 [새창] →미리보기
[공학,기술계열] 등록일: 2009/06/05 | 등록자: jihyekwon | 판매가격: 500 원
회로는 cad를 사용하여 작성하여 캡쳐해 놓았습니다. 오실로스코프 파형을 그리기 위한 표도 작성되어 있습니다. [이용대상]
4 pages | 다운로드 1 | 구매평가
[전자, 전자회로실험 기초회로실험] 실험25 적분기 회로 시뮬레이션 [새창] →미리보기
[공학,기술계열] 등록일: 2009/06/05 | 등록자: jihyekwon | 판매가격: 200 원
적분기 회로를 피스파이스를 이용하여 시뮬레이션 하였고, 표로 정리하였습니다. [이용대상]
2 pages | 다운로드 1 | 구매평가
[전자, 기초회로실험] 실험1 회로법칙의 확인과 계측기의 이해 [새창] →미리보기
[공학,기술계열] 등록일: 2009/06/05 | 등록자: jihyekwon | 판매가격: 500 원
각 실험마다 오실로스코프 파형을 캡쳐해서 결과값을 나타내었으며 표로 정리되어 있습니다. [이용대상] [이용대상]
4 pages | 다운로드 0 | 구매평가
[전자, 전자회로실험] 실험8 쌍극성 접합 트랜지스터 특성 [새창] →미리보기
[공학,기술계열] 등록일: 2009/06/05 | 등록자: jihyekwon | 판매가격: 1,000 원
캐드 결과 캡처 뿐만 아니라 결과를 표로 정리하였고, 그래프로 나타내었습니다. [이용대상]
7 pages | 다운로드 8 | 구매평가
[전자, 전자회로실험] 실험10 BJT의 이미터 바이어스 및 콜렉터 궤환 바이어스 [새창] →미리보기
[공학,기술계열] 등록일: 2009/06/05 | 등록자: jihyekwon | 판매가격: 500 원
캐드 결과 캡쳐뿐만 아니라 결과를 표로 정리하여 나타내었습니다. [이용대상]
2 pages | 다운로드 5 | 구매평가
[전자, 전자회로실험] BJT의 고정 바이어스 및 전압분배기 바이어스 [새창] →미리보기
[공학,기술계열] 등록일: 2009/06/05 | 등록자: jihyekwon | 판매가격: 500 원
트랜지스터 사전지식과 캐드를 이용한 결과를 캡쳐하여 작성하였습니다.
3 pages | 다운로드 3 | 구매평가
[전자, 전자회로실험] 실험6 클램핑회로 [새창] →미리보기
[공학,기술계열] 등록일: 2009/06/05 | 등록자: jihyekwon | 판매가격: 1,000 원
각 실험 절차 마다 시뮬레이션이 있고, 오실로스코프 파형을 그리기 위한 표도 함께 작성되어 있습니다 [이용대상]
7 pages | 다운로드 3 | 구매평가
[전자, 전자회로실험] 실험 3. STC(Single Time Constant) 회로망의 주파수 특성 [새창] →미리보기
[공학,기술계열] 등록일: 2009/06/05 | 등록자: jihyekwon | 판매가격: 500 원
STC회로망의 시뮬레이션도 포함되어있습니다. Pspice 화면도 캡쳐되어있어서 바로 출력해서 내도 손색이 없는 자료입니다. [이용대상]
7 pages | 다운로드 1 | 구매평가
[전자, 전자회로실험] 실험4 연산증폭기 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2009/06/05 | 등록자: jihyekwon | 판매가격: 1,500 원
실험결과를 표로 정리하고, 그래프로 나타내었고, 오실로스코프 파형도 캡쳐했습니다 [이용대상] [이용대상]
8 pages | 다운로드 0 | 구매평가
[전자, 전자회로실험] 비교기 회로 동작 [새창] →미리보기
[공학,기술계열] 등록일: 2009/06/05 | 등록자: jihyekwon | 판매가격: 1,000 원
사전지식은 각각 회로도를 포함하여 작성하였고, 실험절차도 표로 정리되어있습니다. 멀티미터로 측정한 결과도 캡쳐되어있습니다.
7 pages | 다운로드 4 | 구매평가
rindex = [1]

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기