로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
IT와경영정보시스템1공통) 휘발성 메모리로 알려진 주기억장치인 RAM은 메모리 용량을 그 계산 근거를 기반으로 설명하고 다음 약자를 풀어쓰시오0k [새창] →미리보기
[사회과학계열] 등록일: 2016/10/01 | 등록자: konggong12s | 판매가격: 6,000 원
IT와경영정보시스템1공통) 휘발성 메모리로 알려진 주기억장치인 RAM은 메모리 용량을 그 계산 근거를 기반으로 설명하고 다음 약자를 풀어쓰시오0k 경제학과 IT와경영정보시스템1공통 1. 휘발성 메모리로...
6 pages | 다운로드 1 | 구매평가
예비 보고서 - 접합 다이오드의 특성 [새창] →미리보기
[자연과학계열] 등록일: 2016/02/14 | 등록자: leewk2547 | 판매가격: 2,000 원
접합 다이오드의 특성 실험 목적 1) 순방향 바이어스와 역방향 바이어스가 접합 다이오드의 전류에 미치는 영향을 측정한다. 2) 접합 다이오드의 전압-전류 특성을 실험적으로 측정하고 이를 그래프로 도시한다....
6 pages | 다운로드 0 | 구매평가
위상제어 정류회로 [새창] →미리보기
[공학,기술계열] 등록일: 2016/02/01 | 등록자: hb2577 | 판매가격: 2,000 원
본 자료는 대학교, 공업전문대학교 전기공학, 전자공학과의 전력전자공학 과목 강의에 이용되는 자료로서 위상제어 정류회로에 대해 상세하게 설명하였습니다.
28 pages | 다운로드 0 | 구매평가
쵸퍼/인버터 제어장치의 사용법 (인버터모드) [새창] →미리보기
[공학,기술계열] 등록일: 2016/01/13 | 등록자: hb2577 | 판매가격: 2,000 원
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 쵸퍼/인버터 제어장치의 사용법 (인버터모드)에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임.
10 pages | 다운로드 0 | 구매평가
AC 위상제어 [새창] →미리보기
[공학,기술계열] 등록일: 2016/01/05 | 등록자: hb2577 | 판매가격: 2,000 원
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 AC 위상제어에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임.
13 pages | 다운로드 1 | 구매평가
전력전자공학 개요 [새창] →미리보기
[공학,기술계열] 등록일: 2015/06/26 | 등록자: hb2577 | 판매가격: 2,000 원
전기과, 전자과나 공업전문대학교 또는 대학교 전기공학과, 전자공학과의 강의에 이용되는 자료로서 전력전자공학의 개요와 전력변환방식의 기초, 전력처리시스템과 전력변환 및 전력전자공학의 응용분야에 대해...
29 pages | 다운로드 0 | 구매평가
일반물리학실험 결과보고서 - 전류저울 [새창] →미리보기
[자연과학계열] 등록일: 2015/05/12 | 등록자: leewk2547 | 판매가격: 1,500 원
1.실험 목적 전류가 흐르는 도선이 자기장 속에서 받는 힘을 측정하고 자기장 의 크기를 구하여 전류와 자기력과의 관계에 대해 배운다. 2.실험 원리 전류가 흐르는 도선이 자기장 속에 있으면 다음과 같은...
5 pages | 다운로드 1 | 구매평가
일반물리학실험 - 전류 저울 [새창] →미리보기
[자연과학계열] 등록일: 2015/04/23 | 등록자: leewk2547 | 판매가격: 1,500 원
1.실험 목적 전류가 흐르는 전선이 자기장 속에서 받는 힘을 측정하여 자기장을 계산하고 전류와 자기력과의 관계를 이해한다. 2.실험 원리 전류가 흐르는 도선이 자기장 속에 있으면 다음과 같은 자기력을 받는다.
6 pages | 다운로드 0 | 구매평가
정보통신과 컴퓨터 - 통신 프로토콜과 OSI 7계층 [새창] →미리보기
[공학,기술계열] 등록일: 2015/03/17 | 등록자: leewk2547 | 판매가격: 2,000 원
통신 프로토콜은 짧게 프로토콜이라고도 하며 네트워크 기능을 효율적으로 발휘하기 위하여 여러 계층으로 된 네트워크 구조에서 동일 계층 내에서의 표준화된 통신 규약으로, 컴퓨터나단말기, 또는 원거리 통신...
3 pages | 다운로드 0 | 구매평가
열전소자의 정의, 원리, 열전소자가 이용되는 사용처에 관해서 [새창] →미리보기
[공학,기술계열] 등록일: 2015/03/17 | 등록자: leewk2547 | 판매가격: 2,000 원
열전소자란. -(일명:펠티어소자) 1834년 프랑스 J.C.A. Peltier가 발견한 현상으로 서로다른 두개의 소자양단에 직류 전압을 가하면 전류의 방향에 따라 한쪽면에서는 흡열하고 반대면에서는 발영을 일으키는 현상을...
5 pages | 다운로드 3 | 구매평가
사물인터넷 (IoT : Internet Of Things)의 정의(개념)과 배경, 사례, 동향 및 향후 전망 [새창] →미리보기
[사회과학계열] 등록일: 2015/02/24 | 등록자: bada | 판매가격: 3,000 원
IT 32), 전자신문사, 2014 매일경제 IoT 혁명 프로젝트팀 저, 사물 인터넷(모든 것이 연결되는 세상), 매일경제신문사, 2014. 편석준, 진현호 외 2명 저, 사물인터넷(클라우드와 빅데이터를 뛰어넘는 거대한 연결),...
11 pages | 다운로드 9 | 구매평가
기초회로실험(예비+결과) - 부울대수의 정리 [새창] →미리보기
[자연과학계열] 등록일: 2015/02/15 | 등록자: leewk2547 | 판매가격: 2,000 원
예비 보고서 1. 실험 목적 (1) 부울대수(Boolen algebra)의 기본적인 공리와 정리를 이해하고 증명한다. (2) 부울대수식을 이용한 논리회로의 간략화 및 논리식 표현을 익힌다. (3) 다양한 논리회로를...
10 pages | 다운로드 1 | 구매평가
[IT-세계가전전시회]6대 트렌드로 본 CES 2015(IOT사물인터넷시대, 스마트카, 드론, 웨어러블, 가상현실, TV혁명) [새창] →미리보기
[사회과학계열] 등록일: 2015/01/27 | 등록자: bada | 판매가격: 3,000 원
6대 트렌드(IOT사물인터넷시대, 스마트카, 드론, 웨어러블, 가상현실, TV혁명)로 CES 2015를 분석했습니다. [참고 자료] (주)이코노믹리뷰 편집국 저, 이코노믹리뷰 746호, 이코노믹리뷰, 2015 2015 CES에서 놓쳐선...
21 pages | 다운로드 3 | 구매평가
전자공학 실험 - 오실로스코프와 신호발생기 [새창] →미리보기
[공학,기술계열] 등록일: 2014/09/24 | 등록자: leewk2547 | 판매가격: 2,000 원
1. 실험 목적 - 오실로스코프와 신호발생기의 원리 및 그 사용법을 익숙하게 하고 오실로스코프를 이용하여 시간에 따라 크기가 변화하는 다양한 신호의 측정방법을 익히도록 한다. 2. 실험 해설 A. 오실로스코프...
11 pages | 다운로드 2 | 구매평가
기초 전자 전기 실험 - 로보틱스 실험 [새창] →미리보기
[공학,기술계열] 등록일: 2014/09/24 | 등록자: leewk2547 | 판매가격: 2,000 원
특히 이번에 사용하게 될 장비는 수평다관절형 로봇으로 특히 수평면에서의 조립작업에 매우 적합한 형태이므로, 전자제품의 조립공정에서 많이 사용된다. 이번 실험을 통해 어떻게 기술을 단순화하고 제조 공정을...
10 pages | 다운로드 0 | 구매평가
rindex = [1]

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기