로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
서울시립대 전자전기컴퓨터공학부 편입학업계획서) 서울시립대학교 전자전기컴퓨터공학부 편입학 합격 지원동기 학업계획서 관심 학.. [새창] →미리보기
[공학,기술계열] 등록일: 2023/12/05 | 등록자: asdfghjkl | 판매가격: 5,000 원
서울시립대 전자전기컴퓨터공학부 편입학 학업계획서 자료입니다. ★ 서울시립대 전자전기컴퓨터공학부 편입학 학업계획서 맞게 모든 항목을 완벽하게 작성한 자료입니다. (띄어쓰기 포함 약 2,769자로...
4 pages | 다운로드 1 | 구매평가
[전자전기] 회로이론 필기자료(강의듣고 직접필기) [새창]
[공학,기술계열] 등록일: 2011/03/05 | 등록자: exoti5957 | 판매가격: 4,000 원
[전자전기] 회로이론 필기자료(강의듣고 직접필기)
15 pages | 다운로드 1 | 구매평가
기초 전자 전기 실험 - 로보틱스 실험 [새창] →미리보기
[공학,기술계열] 등록일: 2014/09/24 | 등록자: leewk2547 | 판매가격: 2,000 원
특히 이번에 사용하게 될 장비는 수평다관절형 로봇으로 특히 수평면에서의 조립작업에 매우 적합한 형태이므로, 전자제품의 조립공정에서 많이 사용된다. 이번 실험을 통해 어떻게 기술을 단순화하고 제조 공정을...
10 pages | 다운로드 0 | 구매평가
[기초전자전기] 모터제어 - DC모터, 스테핑모터에 관해 [새창] →미리보기
[공학,기술계열] 등록일: 2014/09/24 | 등록자: leewk2547 | 판매가격: 2,000 원
전자(전기자)로 코일을 사용하여 구성한 것으로, 전기자에 흐르는 전류의 방향을 전환함으로써 자력의 반발, 흡인력으로 회전력을 생성시키는 모터이다. 모형 자동차, 무선조정용 장난감 등을 비롯하여 여러...
17 pages | 다운로드 5 | 구매평가
[전자전기] 신호 및 시스템 설계과제 DTFS (Discrete Time Furier Series) 계수구하기 C언어로 구현 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
신호 및 시스템 설계 목적 주어진 3가지 그림에서의 신호 x[n]에 대하...
15 pages | 다운로드 36 | 구매평가
[전자전기] 전자회로 - 다이오드를 이용한 전자회로설계 / 2. Design a two s [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
2. Design a two-sided limiting circuit u...
3 pages | 다운로드 1 | 구매평가
[전자전기] 제어공학실험 - 간단한 시스템 설계를 통한 LOOT ROCUS 응용 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
1. Introduction 간단한 시스템 설계를 통해 Root Locu...
8 pages | 다운로드 4 | 구매평가
[전자전기] 논리회로 실험 - RAM VHDL을 이용한 실험 결과 보고서 / 메모리 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
메모리 설계 ※ 실험내용 § RAM(Random Access Memor...
3 pages | 다운로드 1 | 구매평가
[전자전기] or gate VHDL을 이용한 실험 결과 보고서 / OR gat [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
OR-gate 설계 1. 실험내용 ■ Schematic & Truth ...
3 pages | 다운로드 0 | 구매평가
[전자전기] 논리회로실험 - 논리회로실험 - Inverting gate 설계 / 1. The inverting [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
1. The inverting circuit with the T netw...
3 pages | 다운로드 0 | 구매평가
[전자전기] 논리회로실험 - full half adder VHDL을 이용한 실험 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
Half Adder, Full Adder 설계 1. 실험내용 1) 반가...
5 pages | 다운로드 1 | 구매평가
[전자전기] 논리회로실험 - FSM 자판기설계 VHDL을 이용한 실험 결과 보고서 / FPGA [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
FPGA기반 보드에서의 LED 동작 설계 ※ LED 동작 1. 블록다이...
5 pages | 다운로드 9 | 구매평가
[전자전기] 논리회로실험 - d-ff & register VHDL을 이용한 실험 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
D-FF, 8bit Register 설계 1. 실험내용 1) D-FF(...
4 pages | 다운로드 1 | 구매평가
[전자전기] 논리회로실험 - decoder encoder with en VHDL을 이용한 실험 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
3x8 Decoder , 8x3 Encoder 설계 1. 실험내용 1)...
4 pages | 다운로드 2 | 구매평가
[전자전기] 논리회로실험 - 7 SEG VHDL을 이용한 실험 결과 보고서 / 7 Segmen [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
7-Segment 제어기 설계 1. 실험내용 구분seg_selMSB -...
3 pages | 다운로드 1 | 구매평가
rindex = [1]

 

중간시험과제물자료바로가기 씽유 캠퍼스플러스 위로 닫기