로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
전기전자공학과 대학원 면접기출 95문제 답변- 전지전자공학과 대학원 면접 가이드 카이스트, 서울대학교, 연세대학교, 고려.. [새창] →미리보기
[공학,기술계열] 등록일: 2024/08/12 | 등록자: asdfghjkl | 판매가격: 9,000 원
-전기전자공학과 대학원 면접, 최신 면접문제 기출 95 문제 및 문제별 답변, 답안입니다. -전기전자공학과 대학원 면접 가이드를 실제 면접준비 과정에 맞추어 작성해서 포함시킨 자료입니다. -전기전자공학과...
26 pages | 다운로드 0 | 구매평가
[전자공학과] FPGA[field programmable gate array]에 대하여 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
1. FPGA 정의 2. FPGA란? 3. FPGA는 어느 범주에 속하는가? 4. FPGA의 장점 5-1. FPGA의 단점 5-2. FPGA 단점의 극복 6. FPGA의 간단한 구조 7. CLB에 대하여 (Xilinx FPGA 참고) 8. IOB에 대하여 9. FPGA의 종류...
11 pages | 다운로드 0 | 구매평가
[전자공학과] VHDL[VHSIC HardwareDescription Language]에 대하여 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
1. VHDL의 뜻 2. VHDL이 만들어진 배경 3. VHDL의 장점 4. VHDL의 단점 5. 하드웨어 디자인과 프로그래밍 언어적 디자인 6. 제품제작에 VHDL이 쓰이는 과정 7. VDHL의 규칙 8. VDHL용어의 정의와 표현 9. VHDL...
10 pages | 다운로드 0 | 구매평가
전자공학과 윤리강령 [새창] →미리보기
[교육계열] 등록일: 2012/05/03 | 등록자: leewk2547 | 판매가격: 1,500 원
우리는 전자공학과 학생이라는 소속으로 하루의 대부분, 아니 일주일의 대부분의 시간을 전기관에서 생활하며 20대의 최소 절반 가까이를 전자과 학생이라는 타이틀로 살아간다. 어떤 소속이든지 그 소속에 맞는...
5 pages | 다운로드 0 | 구매평가
디지털 논리 설계 [디지털 시계 제작] (인천대학교 전자공학과) [새창]
[공학,기술계열] 등록일: 2014/05/01 | 등록자: pureask | 판매가격: 2,000 원
인천대학교 전자공학과 졸업생입니다. "디지털 논리 설계" 레포트를 올립니다. 프로젝트명: 디지털시계 보고서 디지털 논리 설계 수업시간에 학습한 내용을 바탕으로 디지털시계 보고서 제작함 레포트 A+ 받은...
65 pages | 다운로드 4 | 구매평가
전자공학과 전공영어 해석 및 문제 풀이 [새창] →미리보기
[공학,기술계열] 등록일: 2020/11/05 | 등록자: nav472224 | 판매가격: 800 원
공학 계열 중 특히 전자공학에 관한 전공영어의 해석과 문제 풀이가 담긴 레포트입니다. Y대학교 전공영어 과목으로 전자공학에 대한 지식과 영어공부까지 한번에 공부하실 수 있습니다.
36 pages | 다운로드 0 | 구매평가
전자공학과 축구 [새창] →미리보기
[예체능계열] 등록일: 2017/05/30 | 등록자: secretnote89 | 판매가격: 500 원
즉, error가 발생할 확률 p를 신호사이의 거리 d와 잡음전력 N의 함수로 표현할 수 있다. Q-function 그림과 Q(x)=의 Q-function정의를 보면서 거리 d와 잡음전력 N를 확률 p와의 관계를 분석하면, 괄호안의 수인...
2 pages | 다운로드 0 | 구매평가
[디지털 논리 설계 이론] 종이 헬리콥터 제작 (인천대학교 전자공학과) [새창] →미리보기
[공학,기술계열] 등록일: 2014/05/01 | 등록자: pureask | 판매가격: 2,000 원
과목명 : 디지털 논리 설계 이론 프로젝트명 : 종이 헬리콥터 실행계획서 담당교수 : 인천대학교 김교선 교수님 디지털 논리 설계 이론 수업시간에 학습한 6 시그마 기법을 이용하여 종이 헬리콥터 실행계획서를...
54 pages | 다운로드 2 | 구매평가
[전자공학과] PSpice를 이용한mod6 ,mod10 동기식카운터 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2010/03/22 | 등록자: kckgold | 판매가격: 500 원
카르노맵을이용해 정리하고, 회도로를 그려 만든겁니다. [자료범위] 디지털공학
4 pages | 다운로드 1 | 구매평가
[전자공학과] 자동제어 PID 설계, ILM Tool과 Matlab을 이용한 그래프 [새창] →미리보기
[공학,기술계열] 등록일: 2009/12/15 | 등록자: rlaeodnr55 | 판매가격: 1,000 원
1. PID 제어기를 갖는 인공위성 자세제어 시스템 (1) P 제어기, 즉 일 때, 단위 스텝기준입력에 대해 폐루프 시스템의 정상상태 오차가 5%이하가 되기 위한 의 범위를 구하라. (2) PI제어기, 일 때, (a) R-Y에...
8 pages | 다운로드 10 | 구매평가
[전자공학과] 디지털시계 [새창] →미리보기
[공학,기술계열] 등록일: 2007/10/25 | 등록자: qordhksgus | 판매가격: 1,000 원
디지털시계를 구성후 오류수정과정 적어놓은 보고서
14 pages | 다운로드 2 | 구매평가
연세대학교 공학대학원 컴퓨터공학과 학업계획서 연세대 공학대학원 컴퓨터공학 석사과정 학업계획서 서울대학교 컴퓨터공학부 대학원학업계획서 이화여대 컴퓨터공학과.. [새창] →미리보기
[기타] 등록일: 2018/01/15 | 등록자: asdfghjkl | 판매가격: 8,000 원
연세대학교 공학대학원 컴퓨터공학 석사과정 학업계획서 연세대 컴퓨터공학 대학원 학업계획서 연구계획서 연세대학교 공학대학원 컴퓨터공학 석사과정 학업계획서 공학대학원학업계획서 연구계획서 예문입니다....
4 pages | 다운로드 11 | 구매평가
부경대 제어공학 중간고사 족보 [새창] →미리보기
[공학,기술계열] 등록일: 2022/01/26 | 등록자: txkhanh15 | 판매가격: 2,000 원
2021학년 2학기 전자공학과 제어공학 중간시험 족보
5 pages | 다운로드 2 | 구매평가
캡스톤디자인 졸업작품 주제 선정 배경 및 목적 [새창] →미리보기
[공학,기술계열] 등록일: 2018/06/01 | 등록자: yoomh413 | 판매가격: 500 원
주제 선정 배경 및 목적 주제 선정 배경 및 목적 주제 선정 배경 및 목적 주제 선정 배경 및 목적
1 pages | 다운로드 1 | 구매평가
[캡스톤디자인] ADC 값 LCD에 표시하기 [새창] →미리보기
[공학,기술계열] 등록일: 2018/04/22 | 등록자: yoomh413 | 판매가격: 500 원
ADC 값 LCD에 표시하기 ADC 값 LCD에 표시하기 ADC 값 LCD에 표시하기 ADC 값 LCD에 표시하기 ADC 값 LCD에 표시하기
2 pages | 다운로드 0 | 구매평가
rindex = [1]

 

중간시험과제물자료바로가기 씽유 캠퍼스플러스 위로 닫기