로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
[전기전자 보고서] 쿨롱의 법칙 [새창] →미리보기
[자연과학계열] 등록일: 2013/12/12 | 등록자: leewk2547 | 판매가격: 2,000 원
의 법칙 쿨롱의 법칙은 만유인력과 같이 거리제곱에 반비례하는 힘이지만, 전하의 극성에 따라 인력 혹은 척력이 작용된다. 쿨롱의 법칙에 의한 두 전하 q1, q2 사이에 작용하는 전기력(F)은 다음과 같이 표시된다.
3 pages | 다운로드 0 | 구매평가
전기전자 시상수 보고서입니다 [새창] →미리보기
[자연과학계열] 등록일: 2012/05/14 | 등록자: leewk2547 | 판매가격: 1,400 원
① RC회로 100kΩ, 50uF 일 때, 측정된 전압이 1.718V가 되는 시간 : 약 5.78초 100kΩ, 50uF 일 때, 이론 시상수 RC값 : 5초 실험 측정값과 이론 결과 값의 오차가 0.78초가 있음을 알 수 있습니다....
5 pages | 다운로드 0 | 구매평가
전기전자 - RC 시상수실험 보고서 [새창] →미리보기
[자연과학계열] 등록일: 2014/04/21 | 등록자: leewk2547 | 판매가격: 2,000 원
목적 직류전원에 의해 축전기에 전하가 충전되는 양상을 관찰하고, R-C 회로의 전기적 특성을 대표하는 시상수를 측정하여 축전기의 특성을 살펴본다.,
5 pages | 다운로드 0 | 구매평가
전기전자 결과 보고서 - 도선에서의 자기장 [새창] →미리보기
[자연과학계열] 등록일: 2014/04/21 | 등록자: leewk2547 | 판매가격: 2,000 원
실험결과 및 분석 (1) 도선에서의 자기장 1) 전류변화 도선의 중심과 자기센서와의 거리 = 10mm 직선도선 : Perpendicular 방향으로 측정
5 pages | 다운로드 0 | 구매평가
[전자전기기초실험] 건전지 내부저항 측정 대 병렬회로 대 직렬회로 결과값 보고서 입니다 [새창] →미리보기
[자연과학계열] 등록일: 2012/05/29 | 등록자: leewk2547 | 판매가격: 1,000 원
전지는 1회용 전지이고, 2차 전지는 충전이 가능한 축전지이다. 2차 전지의 대표적인 것으로는 리튬 이온 전지가 있으며, 대부분의 가전제품에 사용되고 있다. ➁건전기의 직렬 접속과 병렬 접속의 장단점을...
3 pages | 다운로드 1 | 구매평가
전기전자실험보고서 - 차동 증폭기 회로 [새창] →미리보기
[자연과학계열] 등록일: 2012/09/13 | 등록자: leewk2547 | 판매가격: 2,000 원
1. 목 적 차동 증폭기회로에서 DC와 AC전압을 측정한다 2. 실험장비 (1) 계측장비 오실로스코프 DMM 함수 발생기 직류전원 공급기 (2) 부품 ◇ 저항 4.3kΩ 10kΩ 20kΩ...
7 pages | 다운로드 1 | 구매평가
[전자전기] 제어공학실험 - 간단한 시스템 설계를 통한 LOOT ROCUS 응용 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
1. Introduction 간단한 시스템 설계를 통해 Root Locu...
8 pages | 다운로드 4 | 구매평가
[전자전기] 논리회로 실험 - RAM VHDL을 이용한 실험 결과 보고서 / 메모리 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
메모리 설계 ※ 실험내용 § RAM(Random Access Memor...
3 pages | 다운로드 1 | 구매평가
[전자전기] or gate VHDL을 이용한 실험 결과 보고서 / OR gat [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
OR-gate 설계 1. 실험내용 ■ Schematic & Truth ...
3 pages | 다운로드 0 | 구매평가
[전자전기] 논리회로실험 - full half adder VHDL을 이용한 실험 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
Half Adder, Full Adder 설계 1. 실험내용 1) 반가...
5 pages | 다운로드 1 | 구매평가
[전자전기] 논리회로실험 - FSM 자판기설계 VHDL을 이용한 실험 결과 보고서 / FPGA [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
FPGA기반 보드에서의 LED 동작 설계 ※ LED 동작 1. 블록다이...
5 pages | 다운로드 9 | 구매평가
[전자전기] 논리회로실험 - d-ff & register VHDL을 이용한 실험 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
D-FF, 8bit Register 설계 1. 실험내용 1) D-FF(...
4 pages | 다운로드 1 | 구매평가
[전자전기] 논리회로실험 - decoder encoder with en VHDL을 이용한 실험 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
3x8 Decoder , 8x3 Encoder 설계 1. 실험내용 1)...
4 pages | 다운로드 2 | 구매평가
[전자전기] 논리회로실험 - 7 SEG VHDL을 이용한 실험 결과 보고서 / 7 Segmen [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
7-Segment 제어기 설계 1. 실험내용 구분seg_selMSB -...
3 pages | 다운로드 1 | 구매평가
[전자전기] 논리회로실험 - 1bit 4bits comparator VHDL을 이용한 실험 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
1bit 비교기, 4bits 비교기
5 pages | 다운로드 1 | 구매평가
rindex = [1]

 

중간시험과제물자료바로가기 씽유 캠퍼스플러스 위로 닫기