로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
[전자전기] 신호 및 시스템 설계과제 DTFS (Discrete Time Furier Series) 계수구하기 C언어로 구현 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
신호 및 시스템 설계 목적 주어진 3가지 그림에서의 신호 x[n]에 대하...
15 pages | 다운로드 36 | 구매평가
[전자전기] 전자회로 - 다이오드를 이용한 전자회로설계 / 2. Design a two s [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
2. Design a two-sided limiting circuit u...
3 pages | 다운로드 1 | 구매평가
[전자전기] 제어공학실험 - 간단한 시스템 설계를 통한 LOOT ROCUS 응용 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
1. Introduction 간단한 시스템 설계를 통해 Root Locu...
8 pages | 다운로드 4 | 구매평가
[전자전기] 논리회로 실험 - RAM VHDL을 이용한 실험 결과 보고서 / 메모리 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
메모리 설계 ※ 실험내용 § RAM(Random Access Memor...
3 pages | 다운로드 1 | 구매평가
[전자전기] or gate VHDL을 이용한 실험 결과 보고서 / OR gat [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
OR-gate 설계 1. 실험내용 ■ Schematic & Truth ...
3 pages | 다운로드 0 | 구매평가
[전자전기] 논리회로실험 - 논리회로실험 - Inverting gate 설계 / 1. The inverting [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
1. The inverting circuit with the T netw...
3 pages | 다운로드 0 | 구매평가
[전자전기] 논리회로실험 - full half adder VHDL을 이용한 실험 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
Half Adder, Full Adder 설계 1. 실험내용 1) 반가...
5 pages | 다운로드 1 | 구매평가
[전자전기] 논리회로실험 - FSM 자판기설계 VHDL을 이용한 실험 결과 보고서 / FPGA [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
FPGA기반 보드에서의 LED 동작 설계 ※ LED 동작 1. 블록다이...
5 pages | 다운로드 9 | 구매평가
[전자전기] 논리회로실험 - d-ff & register VHDL을 이용한 실험 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
D-FF, 8bit Register 설계 1. 실험내용 1) D-FF(...
4 pages | 다운로드 1 | 구매평가
[전자전기] 논리회로실험 - decoder encoder with en VHDL을 이용한 실험 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
3x8 Decoder , 8x3 Encoder 설계 1. 실험내용 1)...
4 pages | 다운로드 2 | 구매평가
[전자전기] 논리회로실험 - 7 SEG VHDL을 이용한 실험 결과 보고서 / 7 Segmen [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
7-Segment 제어기 설계 1. 실험내용 구분seg_selMSB -...
3 pages | 다운로드 1 | 구매평가
[전자전기] 논리회로실험 - 1bit 4bits comparator VHDL을 이용한 실험 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
1bit 비교기, 4bits 비교기
5 pages | 다운로드 1 | 구매평가
[전자전기]8086 프로세스코딩 1~7 각 버튼에 따른 음계 재생과 곰세마리 연주 / Introd [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
Introduction switch와 beep device 이용하여 음계 재생
1 pages | 다운로드 0 | 구매평가
[전기전자공학] 키르히호프 법칙 실험 결과 보고서 2 [새창] →미리보기
[공학,기술계열] 등록일: 2007/11/13 | 등록자: sj10302 | 판매가격: 500 원
키르히호프의 법칙(Kirchhoff`s Law) 1. 실험제목 키르히...
2 pages | 다운로드 17 | 구매평가
[전기전자공학] 클리퍼 리미터 클램퍼 배전압정류기 8 [새창] →미리보기
[공학,기술계열] 등록일: 2007/11/13 | 등록자: sj10302 | 판매가격: 500 원
목 차 1. 클리퍼 1) 다이오드 클리퍼 (1) 직...
8 pages | 다운로드 23 | 구매평가
rindex = [1]

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기