로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
전기전자 실험 - 브리지정류회로 결과 보고 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 1,500 원
◉실습목적 -정류다이오드를 이용하여 브리지 정류회로를 구성하고 다이오드 입출력 전압을 비교하여 다이오드의 특성을 파악하고, 정류회로의 기능을 이해한다. 또한, 브리지 정류회로의 장단점을 확인 하여...
5 pages | 다운로드 0 | 구매평가
[전기전자 실험보고] 전기전자 기초실험 - 전기회로 기본원리(예비 & 결과보고) [새창] →미리보기
[공학,기술계열] 등록일: 2008/01/18 | 등록자: woosuk916 | 판매가격: 700 원
전류 및 전압과 관련된 기본 이론 및 소자에 관한 설명 실험 기구를 다룰때 주의 사항 이론치와 실제치의 차이 저항의 직,병렬 회로에서 옴의 법칙이 성립하는지 확인 키르히호프의 법칙중첩의 원리가 성립
11 pages | 다운로드 9 | 구매평가
[전기전자공학] NPN, PNP 트랜지스터 실험 실험보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/08/07 | 등록자: qudgns97 | 판매가격: 1,000 원
NPN, PNP 트랜지스터 실험 실험보고서입니다. NPN, PNP의 동작원리를 이해하고, 실험이론 및 실험방법, 결과를 작성한 글입니다.
3 pages | 다운로드 8 | 구매평가
[전자전기] DC Motor Control - [기계계측 실험보고서] [새창] →미리보기
[공학,기술계열] 등록일: 2009/04/26 | 등록자: lspeirs | 판매가격: 4,500 원
DC Motor Control - [기계계측 실험보고서]
63 pages | 다운로드 10 | 구매평가
[전자전기] Step Motor Control-[기계계측 실험보고서] [새창] →미리보기
[공학,기술계열] 등록일: 2009/04/26 | 등록자: lspeirs | 판매가격: 3,500 원
정말 열심히 정리했습니다. 좋은 성적은 물론이구요~^^
49 pages | 다운로드 1 | 구매평가
[전기전자 실험보고] 전기전자 기초실험 - 전기회로 기본원리(2장 예비 & 결과보고) [새창] →미리보기
[공학,기술계열] 등록일: 2008/01/18 | 등록자: woosuk916 | 판매가격: 500 원
테브난, 노튼의 등가회로 최대전력전달 조건 평형 브릿지 회로
6 pages | 다운로드 10 | 구매평가
[전자전기] 논리회로 실험 - RAM VHDL을 이용한 실험 결과 보고서 / 메모리 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
메모리 설계 ※ 실험내용 § RAM(Random Access Memor...
3 pages | 다운로드 1 | 구매평가
[전자전기] or gate VHDL을 이용한 실험 결과 보고서 / OR gat [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
OR-gate 설계 1. 실험내용 ■ Schematic & Truth ...
3 pages | 다운로드 0 | 구매평가
[전자전기] 논리회로실험 - full half adder VHDL을 이용한 실험 결과 보고 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
Half Adder, Full Adder 설계 1. 실험내용 1) 반가...
5 pages | 다운로드 1 | 구매평가
[전자전기] 논리회로실험 - FSM 자판기설계 VHDL을 이용한 실험 결과 보고서 / FPGA [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
FPGA기반 보드에서의 LED 동작 설계 ※ LED 동작 1. 블록다이...
5 pages | 다운로드 9 | 구매평가
[전자전기] 논리회로실험 - d-ff & register VHDL을 이용한 실험 결과 보고 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
D-FF, 8bit Register 설계 1. 실험내용 1) D-FF(...
4 pages | 다운로드 1 | 구매평가
[전자전기] 논리회로실험 - decoder encoder with en VHDL을 이용한 실험 결과 보고 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
3x8 Decoder , 8x3 Encoder 설계 1. 실험내용 1)...
4 pages | 다운로드 2 | 구매평가
[전자전기] 논리회로실험 - 7 SEG VHDL을 이용한 실험 결과 보고서 / 7 Segmen [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
7-Segment 제어기 설계 1. 실험내용 구분seg_selMSB -...
3 pages | 다운로드 1 | 구매평가
[전자전기] 논리회로실험 - 1bit 4bits comparator VHDL을 이용한 실험 결과 보고 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
1bit 비교기, 4bits 비교기
5 pages | 다운로드 1 | 구매평가
[전기전자공학] 키르히호프 법칙 실험 결과 보고서 2 [새창] →미리보기
[공학,기술계열] 등록일: 2007/11/13 | 등록자: sj10302 | 판매가격: 500 원
키르히호프의 법칙(Kirchhoff`s Law) 1. 실험제목 키르히...
2 pages | 다운로드 17 | 구매평가
rindex = [1]
1234

 

중간시험과제물자료바로가기 씽유 캠퍼스플러스 위로 닫기