로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
[공학실험보고서] 인장시험 [새창] →미리보기
[공학,기술계열] 등록일: 2012/08/10 | 등록자: leewk2547 | 판매가격: 1,600 원
연성(ductility), 인성(toughness), 경도(hardness) 등을 충분히 파악하여야 한다. 현재 기계의 설계는 컴퓨터를 응용한 역학적인 해석과 시뮬레이션을 이용하여 더욱 해석적이고 경제적인 방향으로 발전해 나가고...
9 pages | 다운로드 1 | 구매평가
전자회로 4가지 필터 실험 [새창] →미리보기
[자연과학계열] 등록일: 2012/05/31 | 등록자: leewk2547 | 판매가격: 1,800 원
회로를 필터라 하며, 이에 4가지 필터 (Low Pass , High Pass , Band PassFilter , band-stop Filter) 에 관해 이론적인 배경으로 실질적인 시뮬레이션으로 어떠한 결과가 나오는지 알아보는데 목적이 있습니다.
9 pages | 다운로드 2 | 구매평가
HEC-RAS를 이용하여 온천천 유역에 대한 수리 분석 [새창] →미리보기
[자연과학계열] 등록일: 2012/05/29 | 등록자: leewk2547 | 판매가격: 2,500 원
water surface, flow area, flow velocity, and shear stress 등 다른 모든 자료들이 변한 것이 없으므로 시뮬레이션상 세병교의 건설이 상류단 및 하류단의 영향을 많이 끼치지 못 하거나 영향이 끼치더라고 미약...
19 pages | 다운로드 0 | 구매평가
[디지털 시스템설계] 용어조사 [새창] →미리보기
[교육계열] 등록일: 2012/04/28 | 등록자: leewk2547 | 판매가격: 1,800 원
기술할 수도 있으며 시뮬레이션을 통해 제대로 동작하는지 검증할 수도 있다. HDL의 문법과 의미(semantics)는 소프트웨어 프로그래밍 언어와 달리 하드웨어의 주요한 특징인 시간과 동시성(concurrency)를 표현할...
10 pages | 다운로드 0 | 구매평가
C+ 포커게임프로그램 소스파일 [새창] →미리보기
[공학,기술계열] 등록일: 2012/04/06 | 등록자: leewk2547 | 판매가격: 1,500 원
파일명 : main.cpp 프로그램 : poker 프로젝트의 main함수 파일 내용 : 포커게임 시뮬레이션(가상실험) 프로젝트 작성자 : 손민균 200658117 작성일 : 2010. 11. 18. */ #include "poker.h" int main(void)
5 pages | 다운로드 2 | 구매평가
수행평가의 개념, 수행평가의 분류와 수행평가의 장단점, 수행평가의 중요성 및 수행평가의 적용, 수행평가의 실태 그리고 수행평가의 발전 과제에 관한 고찰 [새창] →미리보기
[기타] 등록일: 2011/12/30 | 등록자: mario | 판매가격: 2,000 원
10. 포트폴리오(portfolio)법 11. 컴퓨터 시뮬레이션(computer simulation) 12. 기타 Ⅳ. 수행평가의 장단점 1. 수행평가의 긍정적인 면 2. 수행평가의 부정적인 면 Ⅴ. 수행평가의 중요성 Ⅵ. 수행평가의 적용...
14 pages | 다운로드 4 | 구매평가
레지오 에밀리아 프로젝트의 접근법에 대하여 설명하시오 [새창] →미리보기
[사회과학계열] 등록일: 2011/12/28 | 등록자: heundle | 판매가격: 1,500 원
모의 상황을 마련하여 시뮬레이션을 해보는 과학적 사고 과정이 나를 흥미롭게 하였다.그리고 교사와 유아들이 적극적으로 참가하여 함께 활동하면서 교사들이 유아가 언급한 것과 창작한 것 등을 그냥 흘려듣지 ...
8 pages | 다운로드 1 | 구매평가
레지오 에밀리아 프로젝트의 접근법 [새창] →미리보기
[기타] 등록일: 2011/10/13 | 등록자: peace57 | 판매가격: 2,000 원
모의 상황을 마련하여 시뮬레이션을 해보는 과학적 사고 과정이 나를 흥미롭게 하였다.그리고 교사와 유아들이 적극적으로 참가하여 함께 활동하면서 교사들이 유아가 언급한 것과 창작한 것 등을 그냥 흘려듣지 ...
9 pages | 다운로드 2 | 구매평가
가상현실과 유비쿼터스 [새창] →미리보기
[기타] 등록일: 2011/05/18 | 등록자: mario | 판매가격: 3,000 원
모든 시뮬레이션의 경우 사용자는 제작자가 만들어 놓은 환경 속에서 제작자의 의도 대로만 접촉할 수밖에 없는 환경이었으나 지금의 가상현실에서는 제작자의 의도보다는 사용자의 의도대로 모든 움직임이나 행동을...
6 pages | 다운로드 0 | 구매평가
아담스프로젝트2 [새창] →미리보기
[공학,기술계열] 등록일: 2011/04/21 | 등록자: ckdtn28 | 판매가격: 700 원
3) 아담스에서 시뮬레이션 한 데이터 4) 결과에 대한 간단한 discussion 순으로 되어 있으며 다운로드가 안되거나 오류발생시 저에게 메일보내주시면 확인후 바로 조치해 드리겠습니다 ^^ 그리고 관련 pro-e 파일,...
5 pages | 다운로드 0 | 구매평가
오디오 증폭기 회로설계 [새창] →미리보기
[공학,기술계열] 등록일: 2011/04/04 | 등록자: sksuk3 | 판매가격: 1,500 원
A+받았던 자료입니다. 멀티심으로 파형비교도표시하였습니다. * 차 례 1-1 설계목표 1-2 관련이론 1-3 오디오 엠프 구성방법 1-4 구성된 소자 1-5 회로도 1-6 회로설명 1-7 회로시뮬레이션(파형) 1-8 결 론
6 pages | 다운로드 5 | 구매평가
[전기공학] 소형 연료전지 시스템을 위한 DC-DC 부스터 컨버터의 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2010/03/24 | 등록자: manddang190 | 판매가격: 3,000 원
파라미터들을 이용하여 시뮬레이션을 실시한다. Open loop 시뮬레이션을 실시하여 원하는 출력이 나타나는지를 확인한 후, 보상기의 설계에 들어간다. 보상기의 경우 변환기의 개루프 전달함수로부터 주파수 응답을...
24 pages | 다운로드 3 | 구매평가
[디자인, 조형, 예술, 건축] 디자인 및 조형이론 [새창] →미리보기
[기타] 등록일: 2009/12/20 | 등록자: alberta5 | 판매가격: 3,000 원
시뮬레이션하는 것으로 볼 수 있다. 따라서 디자인을 위해 가장 중요한 것은 탐색 작업을 위해 효과적인 경험적 규칙을 습득하는 것인데 과학과 디자인의 중요한 차이점으로는 지적 활동의 논리적 구성에 있어서...
10 pages | 다운로드 1 | 구매평가
[전자, 전자회로실험] 계측용 증폭기와 브리지 회로 [새창] →미리보기
[공학,기술계열] 등록일: 2009/06/05 | 등록자: jihyekwon | 판매가격: 1,000 원
의용전자 실험 보고서입니다~ cad로 돌린 시뮬레이션 결과와 실제 실험한 사진(오실로스코프 등)을 첨부하여 비교 분석 하였습니다. 바로 그대로 제출해도 손색이 없는 자료입니다. [이용대상]
10 pages | 다운로드 0 | 구매평가
[전자, 전자회로실험] Op Amp의 정적특성(예비보고서) [새창] →미리보기
[공학,기술계열] 등록일: 2009/06/05 | 등록자: jihyekwon | 판매가격: 1,200 원
의용전자 실험 보고서입니다~ cad로 각 시뮬레이션을 돌린 결과도 캡쳐해서 작성했기 때문에 바로 그대로 제출해도 손색이 없는 자료입니다. [이용대상]
12 pages | 다운로드 2 | 구매평가
rindex = [1]

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기