로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
AVR 스탑워치 만들기 (AVR스탑워치,초시계,디지털초시계,atmega128,회로도,소스코드,동작원리,스톱워치 [새창] →미리보기
[공학,기술계열] 등록일: 2015/10/28 | 등록자: zeropointone | 판매가격: 5,000 원
제가 직접 실험하고 공부한 레포트를 정리해서 작성한 압축문서입니다. [구성 : 보고서, 회로도, 소스코드, 결과사진/동영상] 보고서에 실험환경이나 사용된 부품리스트 등이 나와 있기때문에 제공되는 소스코드와...
11 pages | 다운로드 29 | 구매평가
C# 윈도우 폼으로 만든 스탑워치 [새창]
[공학,기술계열] 등록일: 2017/12/14 | 등록자: qazxcs123 | 판매가격: 1,000 원
C# 윈도우 폼으로 만든 스탑워치입니다. 윈도우 프로그래밍 과제로 만들었던 프로그램입니다. C#과목을 배우시면 한번쯤 만들어야하는 과제로 스트레스 받지 마시고 1000원 주고 구매하시면 편합니다.
1 pages | 다운로드 0 | 구매평가
[공학] 스탑워치 VHDL 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/09/25 | 등록자: leewk2547 | 판매가격: 2,000 원
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_B : in std_logic; SW_C : in...
10 pages | 다운로드 3 | 구매평가
[메카트로닉스] 신호등, 스탑워치, 엘리베이트 프로그램 코딩 [새창] →미리보기
[공학,기술계열] 등록일: 2023/02/22 | 등록자: nav221225094221 | 판매가격: 2,900 원
[메카트로닉스] 신호등, 스탑워치, 엘리베이트 프로그램 코딩 에 관한 내용입니다. 자세한 내용은 첨부자료를 확인해주세요.
28 pages | 다운로드 0 | 구매평가
System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간설정 + 알람설정 구현 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 3,000 원
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity easy_clock is port ( clk_in: in std_logic; resetn: in std_logic;
47 pages | 다운로드 0 | 구매평가
디지털 논리 설계 - Altera Max+plus II 스탑워치 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/12/11 | 등록자: leewk2547 | 판매가격: 2,000 원
강의시간에 배운 이론을 바탕으로 실제 상품화 될 수 있는 하드웨어를 Altera Max+plus II 프로그램을 사용하여 설계한 팀 프로젝트 결과입니다.`
14 pages | 다운로드 1 | 구매평가
[정보통신] vhdl을 이용한 디지털시계 소스(lcd,led,도트매트릭스,스탑워치,수정기능)포함 완벽 [새창] →미리보기
[공학,기술계열] 등록일: 2007/12/08 | 등록자: redmoonsky77 | 판매가격: 3,000 원
여러가지 기능을 포함한 디지털시계 소스입니다 컴파일 이상없구요 구매하시분중 궁금한거나 pt자료가 필요하시면 redmoonsky77@hanmail.net<<<멜 주시면 자료랑 답변 드립니다 단락마다 따로 복사해서 옴겨...
50 pages | 다운로드 17 | 구매평가
[디지털논리회로] verilog 시계제작파일 [새창] →미리보기
[공학,기술계열] 등록일: 2012/04/06 | 등록자: leewk2547 | 판매가격: 2,000 원
스탑워치)제작모듈파일입니다. 시뮬레이션캡쳐화면까지 포함된 보고서입니다. 기본시계제작(0.1초~1분단위, 스탑워치)제작모듈파일입니다. 시뮬레이션캡쳐화면까지 포함된 보고서입니다.
15 pages | 다운로드 6 | 구매평가
기초 공학 실험 - Gyroscope의 동특성 실험(결과 보고서) [새창] →미리보기
[공학,기술계열] 등록일: 2015/04/22 | 등록자: leewk2547 | 판매가격: 1,500 원
시간을 가지고 신중히, 천천히 여러회 측정한 후 평균을 이용한다면 오차를 줄일 수 있었을 것이다. 또한 주기측정과정에서 스탑워치의 조작에서 오차가 발생하였는데 이는 비디오를 이용하여 판독하거나 운동을...
5 pages | 다운로드 0 | 구매평가
위어[weir] - 위어판에 의하여 수위차를 만들어서 유량을 측정 [새창] →미리보기
[공학,기술계열] 등록일: 2012/05/18 | 등록자: leewk2547 | 판매가격: 1,400 원
위어(weir)는 위어판에 의하여 수위차를 만들어서 유량을 측정한다. 이 수위와 유량간의 관계를 살펴보고, 위어실험을 통해 측정된 유량과 이론식에 의한 유량을 비교하여 유량계수를 알아보고자 한다.
5 pages | 다운로드 3 | 구매평가
verilog 시계[디지털 논리 회로] [새창] →미리보기
[공학,기술계열] 등록일: 2012/05/17 | 등록자: leewk2547 | 판매가격: 2,200 원
시뮬레이션 1. 기본 시계 제작 (0.1초~1분단위, 스탑워치) 2. hour 단위 구현을 위한 testbench & module -시뮬레이션 하는데 시간이 걸려 분단위 이상은 구현이 힘들어 별도의 module을 사용하여 구현하였습니다.
15 pages | 다운로드 3 | 구매평가
[일반물리] 강체의 회전 운동ppt [새창] →미리보기
[자연과학계열] 등록일: 2012/05/15 | 등록자: leewk2547 | 판매가격: 2,500 원
1.실험목적 2.실험준비물 3.실험방법 4.실험결과
37 pages | 다운로드 1 | 구매평가
rindex = [1]
1

 

중간시험과제물자료바로가기 씽유 캠퍼스플러스 위로 닫기