로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
자동차 제어 시스템 설계 - DC모터의 PID 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
1. PID 설계목표 2. PID 설계절차 3. 조원 역할 분담 4. DC모터 전달함수의 수학적 모델링 5. 비제어 시스템 특성 6. P제어(비례제어) 7. PI제어(비례, 적분제어) 8. PD제어(비례, 미분제어) 9. PID제어(비례, 미분,...
26 pages | 다운로드 10 | 구매평가
디지털 회로설계 - 고속 동작 덧셈기 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
: 고속 동작 덧셈기 설계 2. 목적 VHDL을 이용한 고속 동작 덧셈기의 설계를 통해 덧셈 과정에 있어서 carry의 역할을 이해하고 carry 처리 방법에 따른 여러 가지 덧셈기 구조들을 익히며, VHDL의 코딩 방법을...
15 pages | 다운로드 0 | 구매평가
[디지털 회로설계] VHDL을 통한 Gray Code 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
1. 제목 : VHDL을 통한 Gray Code 설계 2. 개요 : 1) 목적 : Karnaugh map을 이용하여 Gray code를 설계하고 검증하여, Quartus2와 Karnaugh map을 통한 minimization에 대한 이해도를 높인다.
6 pages | 다운로드 0 | 구매평가
[디지털 회로설계] 1-Bit Full Adder를 통한 4-Bit Full Adder 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
통한 4-Bit Full Adder 설계 2. 개요 : 1) 목적 : 1-bit full adder를 통한 4-bit full adder를 설계하여 adder에 대한 이해도를 높인다. N-bit adder로 확장하는 방식을 익혀 계층구조를 이해하고 VHDL의 PORT...
9 pages | 다운로드 1 | 구매평가
[디지털 회로 설계] 4-Bit D Flip Flop 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
설계 2. 개요 : 1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gate의 구현과 process로의 구현의 차이점을 비교해...
8 pages | 다운로드 0 | 구매평가
[공학설계] WMS 설계, 제작 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
설계/제작/실험 과제-설계의 목적, 과제 내용 요약 ● 설계/제작/실험 방법 ● 그림 및 사진 ● 공학해석 ● 프로그래밍-LabVIEW ● 결과 및 검토-측정 결과 및 분석 -Bolt 수 –Vout -Bolt 수...
10 pages | 다운로드 1 | 구매평가
논리회로설계 - 자판기 설계에 대해 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/15 | 등록자: leewk2547 | 판매가격: 2,000 원
자판기설계의 목적은 기본적인 순차논리회로를 해석하고 직접 설계하는 능력을 키우고 이를 바탕으로 간단하고 실생활에 이용되는 순차 논리회로를 디자인하는 것이다. 순차논리회로를 디자인 하기위해선 문제를...
6 pages | 다운로드 3 | 구매평가
기계설계 - 기계설계 입문과정 레고조립 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/15 | 등록자: leewk2547 | 판매가격: 2,000 원
1.요약 2.서론 -설계의 목적 3. 본론 -4조의 설계 아이디어 -평가 행렬법 -문제해결 제안 -만다라트를 통한 아이디어 구체화 4. 결론 -결과 -향 후 해결과제 -끝맺음말
10 pages | 다운로드 0 | 구매평가
큰힘을 받는 구조물에서의 볼트의 항복제한설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/06/27 | 등록자: keiosh | 판매가격: 300 원
설계의 성패가 알맞은 체결요소의 선택과 사용에 달려있다고 해도 과언이 아니라고 할 수있고 체결요소의 설계와 제조는 상당히 큰 사업이다. 한 예로 보잉 747기에는 약 2백5십만개의 체결요소로 구성되어 있다고...
2 pages | 다운로드 2 | 구매평가
부품설계-풍력발전기 회전날개(rotor-blade)의 설계관점 [새창] →미리보기
[공학,기술계열] 등록일: 2013/06/27 | 등록자: keiosh | 판매가격: 900 원
설계 개인보고서- 풍력발전기 회전날개(rotor-blade)의 설계관점 1. 기계선정 - 풍력발전기 풍력발전기란 에너지 변환장치의 일종인 풍력발전용 터빈에 의해 풍력에너지(바람의 운동에너지)를 회전기계에너지로...
4 pages | 다운로드 1 | 구매평가
[건축] 영국업체에 의해 설계된 내진구조 시스템의 사례 [새창] →미리보기
[공학,기술계열] 등록일: 2013/06/18 | 등록자: leewk2547 | 판매가격: 2,000 원
프로젝트의 건축/구조설계 용역에 관여한 영국업체들의 기술보고서를 바탕으로 영국의 내진설계 능력을 가늠할 수 있다. 이를 통해 접합부뿐만 아니라 최근의 영국 건축 디자인 및 공학 분야의 유기적 결합에 기반을...
69 pages | 다운로드 0 | 구매평가
기구설계설계과제[자동차 와이퍼 - 용차 윈도우 단일 와이퍼용 4절 기구 설계] [새창] →미리보기
[공학,기술계열] 등록일: 2013/04/25 | 등록자: leewk2547 | 판매가격: 2,000 원
용차 윈도우 단일 와이퍼용 4절 기구 설계 1. 설계 목표 2. 설계 사양 3. 설계 과정 4. 설계 결과
18 pages | 다운로드 2 | 구매평가
기계요소설계 - 수직으로 물체를 이동시키는 엘리베이터의 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/04/04 | 등록자: leewk2547 | 판매가격: 2,000 원
Report (Term Project 최종 설계 계획서) 수직으로 물체를 이동시키는 엘리베이터의 설계 1. 과제명 3. 과제추진일정 4. 과제 내용(부분적인 시스템의 설계계획)
24 pages | 다운로드 0 | 구매평가
논리회로설계 - 영상 스크램블러 [새창] →미리보기
[공학,기술계열] 등록일: 2013/03/28 | 등록자: leewk2547 | 판매가격: 2,000 원
논리회로설계 프로젝트 자유주제 : 영상 스크램블러 작품설명 회로 입출력 및 기능 블록 다이어그램 Sram주요 코드 설명
28 pages | 다운로드 0 | 구매평가
열펌프시스템설계 - 지열 히트펌프를 이용한 온실하우스 난방 효과 [새창] →미리보기
[공학,기술계열] 등록일: 2013/03/13 | 등록자: leewk2547 | 판매가격: 2,000 원
히트펌프를 이용한 온실하우스 난방 효과 Time Table 열펌프 시스템 설계 Ⅰ. 설계 개요 작성 Ⅱ. 선진사례 분석 및 위치선정 2. 수평형 지열 히트펌프를 적용한 온실하우스 3. 위치선정 Ⅲ. 대상결정 및 부하계산...
33 pages | 다운로드 1 | 구매평가
rindex = [1]

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기