로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
7-Segment 소스 순서도 그리기 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/10 | 등록자: linux32 | 판매가격: 500 원
책 제목 : ALTERA Qrartus II를 이용한 FPGA 시스템 설계 및 실습 저자 : 박영석, 송태훈 ISBN : 9788993668087 페이지 : 356 P132~P148에서 소개된 소스를 순서도로 표시
13 pages | 다운로드 0 | 구매평가
PC 절전 프로그램 소스(PC 전원 종료) [새창]
[공학,기술계열] 등록일: 2013/10/21 | 등록자: goatme | 판매가격: 5,000 원
취미로 만들어본 PC 절전 프로그램(PC 전원차단 프로그램) 입니다. <프로그램 주요기능> - 트레이 실행 프로그램 1. 점심/퇴근시간 전원 차단(시간 설정 가능) 2. 환경설정 가. PC 전원 차단 기능 On/Off...
30 pages | 다운로드 1 | 구매평가
[현대건축] 현대 건축의 음악당 - 세계의 공연장 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/15 | 등록자: leewk2547 | 판매가격: 2,000 원
- 도심에 위치해 있어 지하로 기차와 버스 터널이 지나가기 때문에 바깥 소음과 진동을 차단하기 위한 특수 공법을 사용. - 310개의 고무 패드 베어링이 건물을 떠받치는 부양(浮揚)구조. - 객석 내부는 구두 상자...
3 pages | 다운로드 0 | 구매평가
verilog 시계[디지털 논리 회로] [새창] →미리보기
[공학,기술계열] 등록일: 2012/05/17 | 등록자: leewk2547 | 판매가격: 2,200 원
시뮬레이션 1. 기본 시계 제작 (0.1초~1분단위, 스탑워치) 2. hour 단위 구현을 위한 testbench & module -시뮬레이션 하는데 시간이 걸려 분단위 이상은 구현이 힘들어 별도의 module을 사용하여 구현하였습니다.
15 pages | 다운로드 3 | 구매평가
[디지털논리회로] verilog 시계제작파일 [새창] →미리보기
[공학,기술계열] 등록일: 2012/04/06 | 등록자: leewk2547 | 판매가격: 2,000 원
기본시계제작(0.1초~1분단위, 스탑워치)제작모듈파일입니다. 시뮬레이션캡쳐화면까지 포함된 보고서입니다. 기본시계제작(0.1초~1분단위, 스탑워치)제작모듈파일입니다. 시뮬레이션캡쳐화면까지 포함된 보고서...
15 pages | 다운로드 6 | 구매평가
[] 잘못된 과학 기술 실패 사례(계획서) [새창] →미리보기
[공학,기술계열] 등록일: 2010/03/31 | 등록자: dong1989 | 판매가격: 1,000 원
30분단위로 수립된 작성 일정)과 실제 진행된 결과를 비교하여 구체적으로 비교 기술한 레포트입니다. [참고자료] 서울특별시 서울육백년사 위키백과 경북일보 이경찬의 역사교실 건축시공기술사 조민수
2 pages | 다운로드 0 | 구매평가
[건축학] 근대건축 보존과 법적 보호 [새창] →미리보기
[공학,기술계열] 등록일: 2007/08/27 | 등록자: leodica7 | 판매가격: 1,000 원
[건축학] 근대건축 보존과 법적 보호
8 pages | 다운로드 0 | 구매평가
rindex = [1]
1

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기