로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
시계열분석입문 - 담배값에 대한 시계열 분석 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 2,000 원
Ⅰ주제선정 Ⅱ데이터수집 Ⅲ회귀모형추정 Ⅳ추정된 모형 검정 1. 적합성 검정 2. 회귀계수 검정 3. Durbin Watson - Test 4. Run Test...
9 pages | 다운로드 0 | 구매평가
복잡한 회로 설계 - [VHDL] 4비트 가산기 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 1,500 원
4비트 가산기는 4비트인 2개의 입력신호를 더하는 역할을 한다. 예를 들어 1011 + 1100 = 10111이다. 기본적인 4비트 병렬 가산기는 4개의 전가산기로 구성된다. 두 개의 입력 신호는 , 로 주어지며, 각 가산기의...
6 pages | 다운로드 3 | 구매평가
논리회로 설계 및 실험 - 가산기와 감산기 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 1,500 원
2진입력이 되면 출력변수로 합(S)과 캐리(S)를 만든다. 예측 : A와 B입력 0과 0, 1과 1은 S는 0이 출력된다. 나머지는 모두 1이 출력된다. C는 1과 1을 입력한 것만 1이 출력되고 나머지는 모두 0이 출력된다.
6 pages | 다운로드 0 | 구매평가
System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간설정 + 알람설정 구현 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 3,000 원
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity easy_clock is port ( clk_in: in std_logic; resetn: in std_logic;
47 pages | 다운로드 0 | 구매평가
[화일구조] 3원 다단계 합병 알고리즘 구현 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 2,200 원
난수 생성기(random number generator)를 이용하여 정수(0<=정수 <= 10000) 1000개를 생성하고 레코드 하나에 정수 하나를 저장한 파일을 생성하라. 이 화일을 3-원 다단계 합병 기법으로 정렬하는 프로그램을...
14 pages | 다운로드 1 | 구매평가
[마이크로 프로세서 소스] 타이머 작성 소스코드 - pic 16f84 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 3,000 원
a. 키친타이머는 처음 OFF(SLEEP MODE)의 상태이며, 여기서 키를 1번 누를 때마다 LED가 하나씩 꺼지며, 가장 마지막 LED는 Toggling 상태이다. b. 키친타이머의 실제 카운트 시간은 각 표시 숫자×10sec이다. c....
31 pages | 다운로드 0 | 구매평가
[디지털변조] QAM (quadrature amplitude modulation) - 직교진폭변조에 관해 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 1,000 원
QAM 이란? 이론 Simulink를 통한 설계 분석 및 결론
7 pages | 다운로드 0 | 구매평가
열유체 - 온도압력(kc)[Bourdon gauge와 압력 센서를 이용해 압력을 측정하고 각 센서의 특성과 차이점을 측정] [새창] →미리보기
[공학,기술계열] 등록일: 2014/01/19 | 등록자: leewk2547 | 판매가격: 2,000 원
PT100 이것은 백금으로 된 가는 선을 용수철처럼 만들어 세라믹과 같이 전기를 통하지 않는 물체에 감은 것이다. 이 온도계는 넓은 온도 범위에 걸쳐 정밀한 온도 값을 주므로...
7 pages | 다운로드 0 | 구매평가
논리회로 - 플립플롭 [새창] →미리보기
[공학,기술계열] 등록일: 2014/01/19 | 등록자: leewk2547 | 판매가격: 2,000 원
플립플롭과 래치는 두개의 안정된 상태 중 하나를 가지는 1비트 기억소자 플립-플롭 클럭신호가 Rising 할 때만 출력값이 변함. Edge-triggered 방식으로 동작 래치 Enable 제어신호가 ‘1’인 동안에 SR입력이...
18 pages | 다운로드 0 | 구매평가
기계공학 실험 - 풍동(두개의 펜을 이용)실험 [새창] →미리보기
[공학,기술계열] 등록일: 2014/01/19 | 등록자: leewk2547 | 판매가격: 2,000 원
1. 목적 풍동 실험을 통해 airfoil 안에서 위치에 따른 속도의 변화를 알고 각도의 변화에 따른 정압을 알아본다. 2. 기초이론 (※이하에서는 p(로)와 u(뮤) 기호로만 표시하겠음)
4 pages | 다운로드 0 | 구매평가
프로그래밍 - c언어 포인터정리 [새창] →미리보기
[공학,기술계열] 등록일: 2013/12/27 | 등록자: leewk2547 | 판매가격: 2,000 원
포인터정의! 포인터와배열 포인터와함수 Const static
28 pages | 다운로드 0 | 구매평가
matlab을 이용한 Automatic Control System 해석 [새창] →미리보기
[공학,기술계열] 등록일: 2013/12/27 | 등록자: leewk2547 | 판매가격: 2,000 원
MATLAB의 작업환경 MATLAB에서 쓰이는 기호들 함수의 표현 벡터와 행렬의 표현 및 계산 MATLAB의 기본 명령어들 그래프 그리기 MATLAB 프로그램의 작성과 실행
78 pages | 다운로드 0 | 구매평가
다이오드 실험 결과보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2013/12/02 | 등록자: finskels | 판매가격: 2,500 원
전자공학부의 기초전기 실험 결과보고서입니다. 실험내용은 다이오드입니다. 직접 실험한 내용을 다루고 있습니다. 제가 대학생 때 사용한 레포트입니다.
9 pages | 다운로드 0 | 구매평가
수치해석 보고서 - 가우스 구적법(Gauss Quadrature) [새창] →미리보기
[공학,기술계열] 등록일: 2013/11/29 | 등록자: leewk2547 | 판매가격: 2,000 원
1.Gauss Quadrature (가우스 구적법) 2. 이론해 계산 3. 프로그램 알고리즘 4. 프로그램 리스트 5. 수치 적분 결과 6. 이론 해와 결과 비교 및 분석 고찰
7 pages | 다운로드 1 | 구매평가
[수공설계] FARD2006을 이용한 강우빈도 해석-은곡천 [새창] →미리보기
[공학,기술계열] 등록일: 2013/11/22 | 등록자: leewk2547 | 판매가격: 2,000 원
서론 본론 1. 모의 기법 및 과정 2. 적합도 검정 및 최적 확률분포형 선정 결과 3. 확률강우량 산정 결과 결론 참고자료
8 pages | 다운로드 1 | 구매평가
rindex = [1]

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기