로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
언어학 - 촘스키 생성문법이론의 정당성 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/15 | 등록자: leewk2547 | 판매가격: 2,000 원
연구를 하는 사람을 우리는 언어학자라고 부른다. 언어학자들의 사이에는 문법이론에 따라서 여러 학파가 형성되어 있다. 여러 학파들을 크게 분류해 본다면 구조주의 문법학자들과 생성문법학자들로 나누어 볼...
7 pages | 다운로드 0 | 구매평가
VHDL 설계 언어 실습(문법적용) [새창] →미리보기
[공학,기술계열] 등록일: 2014/01/19 | 등록자: leewk2547 | 판매가격: 2,000 원
library ieee; use ieee.std_logic_1164.all; entity logic1 is port(a,b,c :in bit; y :out bit); end logic1; architecture sample of logic1 is signal w, x : bit; begin no1: process(a,b) begin if (a=1)...
26 pages | 다운로드 0 | 구매평가
[컴퓨터공학] Calculator를Driver(Main), Passer(문법구조처리), Lexer(단어처리), Symbol table, Error.. [새창] →미리보기
[공학,기술계열] 등록일: 2007/11/19 | 등록자: ygt1005 | 판매가격: 1,200 원
계산기를 구현하여 문제정의 분석 소스 결과 화면출력 결론까지..
7 pages | 다운로드 0 | 구매평가
블렌더 (Blender)에서 사용하고 있는 Python (파이썬) 의 버전 정보를 확인하는 방법 [새창] →미리보기
[공학,기술계열] 등록일: 2015/07/31 | 등록자: fsshap | 판매가격: 1,000 원
문법이 달라지기 때문입니다. 그래서 이 파이썬의 버전을 먼저 확인을 한 다음 블렌더 스크립트 프로그래밍을 하여야 아무 탈없이 원할하게 프로그래밍을 할 수 있습니다. 그래서 이 블렌더에 내장된 파이썬의...
1 pages | 다운로드 1 | 구매평가
2023년 2학기 방송통신대 C++프로그래밍 출석수업대체과제물)연속적으로 발생되는 값에서 최종 n개의 값의 가중이동평균(weighted moving average)을 구하.. [새창] →미리보기
[공학,기술계열] 등록일: 2023/10/19 | 등록자: sunnyfanta | 판매가격: 17,000 원
- 과제물을 정확하고 신속하게 하실 수 있도록 프로그램 코드와 함께 쉽고 자세한 설명을 담아 작성하였습니다. - 교재를 중심으로 다양한 문헌을 참고하여 정성을 다해 작성하였습니다. - 과제물 지시사항을...
10 pages | 다운로드 1 | 구매평가
2022년 2학기 방송통신대 프로그래밍언어론 중간과제물)프로그래밍 언어의 세 가지 기본 기능 중 한 가지를 선택하여 자세히 설명하시오 BNF로 표현된 다음 세 개의 구문을.. [새창] →미리보기
[공학,기술계열] 등록일: 2022/09/08 | 등록자: sunnyfanta | 판매가격: 15,000 원
리포트 작성에 참고하세요~ 문단 모양(왼쪽 여백 0, 오른쪽 여백 0, 줄간격 160%) 글자 모양(굴림체, 장평 100%, 크기 11 pt, 자간 0%) 행복하세요, Now!
7 pages | 다운로드 4 | 구매평가
8. 씨샵프로그래밍 배열 [새창] →미리보기
[공학,기술계열] 등록일: 2021/12/09 | 등록자: starred24 | 판매가격: 1,900 원
"8. 씨샵프로그래밍 배열"에 대한 내용입니다. 프로그래밍 과제 및 실습을 통해 이해와 학습을 할 수 있습니다.
19 pages | 다운로드 0 | 구매평가
마이크로프로세서 verilog code [새창] →미리보기
[공학,기술계열] 등록일: 2020/11/01 | 등록자: jsi0410 | 판매가격: 15,000 원
3. 프로젝트 내용이 정리된 레포트파일(docx 파일) 문법오류 없고, FPGA 보드에 올려서 작동까지 확인한 verilog code입니다. 해당 마이크로프로세서는 Addition, Addition Immediate, Subtraction, AND, OR, Not,...
11 pages | 다운로드 0 | 구매평가
c프로그래밍 실습 - 성적입력 프로그램 [새창] →미리보기
[공학,기술계열] 등록일: 2015/02/15 | 등록자: leewk2547 | 판매가격: 2,000 원
CONSOL화면에 다음과 같은 출력결과가 나오도록 프로그램을 작성하는 것이 이번과제의 목표이다. 단 구조체와 배열을 이용하여 성적 관리 프로그램의 소스코드를 짜야 한다.
4 pages | 다운로드 0 | 구매평가
[VHDL] Digital stop watch[디지털 스톱 워치] 설계 소스 - 키트와 쿼터스를 이용 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 2,000 원
시계가 익숙한 현 세대에 더욱 선호되는 편이다. 이번 프로젝트 설계를 통해 학기 동안 배웠던 VHDL 문법을 복습하고, 나아가 더 복잡한 구조를 해석하고 설계할 수 있는 능력을 기를 수 있으며 키트의 세그먼트...
11 pages | 다운로드 5 | 구매평가
[컴퓨터 프로그래밍] C++개요, C++의 기본 형식, C++의 변수, 연산자, 제어문, 배열과 포인터 [새창] →미리보기
[공학,기술계열] 등록일: 2013/12/27 | 등록자: leewk2547 | 판매가격: 2,000 원
C++ 개 요 1. C++이란? 프로그래밍 언어의 한 종류로써 C 언어의 기능을 확장하여 만든 객체 지향형 프로그래밍 언어를 말하며 여기서 객체란 변수를 의미한다. (변수 : int, real, double, char 등)
10 pages | 다운로드 0 | 구매평가
[건축] 르네상스 건축물에 관하여 [새창] →미리보기
[공학,기술계열] 등록일: 2013/09/06 | 등록자: leewk2547 | 판매가격: 2,000 원
Renaissace 1성기 르네상스 -도나토 브라만테.성 -안토니오 다 상갈로 2.후기르네상스 및 매너리즘 -미켈란젤로 -안드레아 팔라디오
13 pages | 다운로드 0 | 구매평가
[전자공학과] VHDL[VHSIC HardwareDescription Language]에 대하여 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
1. VHDL의 뜻 2. VHDL이 만들어진 배경 3. VHDL의 장점 4. VHDL의 단점 5. 하드웨어 디자인과 프로그래밍 언어적 디자인 6. 제품제작에 VHDL이 쓰이는 과정 7. VDHL의 규칙 8. VDHL용어의 정의와 표현 9. VHDL...
10 pages | 다운로드 0 | 구매평가
실습보고서 - 임베디드 리눅스 실습 [새창] →미리보기
[공학,기술계열] 등록일: 2013/05/09 | 등록자: leewk2547 | 판매가격: 2,000 원
1. 제목 : minicom 터미널 에뮬레이터를 이용하여 호스트와 타겟머신 을 연결해 간단한 소스프로그램 실행해보기 2. 목적 : 타겟머신과 호스트머신을 시리얼 포트를 통해 연결하는법을 터득해보고...
3 pages | 다운로드 0 | 구매평가
내용 영역에서의 보조 공학과 보편적 학습 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2012/09/21 | 등록자: yellow555 | 판매가격: 1,000 원
소개글 내용 영역에서의 보조 공학과 보편적 학습 설계.hwp 목차 Ⅰ보조공학과 보편적 학습 설계 전략 1. 쓰기에서 보조공학 활용하기 2. 보조공학을 읽기에 활용하기 3. 수학에서 보조공학 활용하기 4....
4 pages | 다운로드 1 | 구매평가
rindex = [1]
12

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기